Coating Of Sidewall Patents (Class 438/696)
  • Patent number: 7857982
    Abstract: The invention includes methods of etching features into substrates. A plurality of hard mask layers is formed over material of a substrate to be etched. A feature pattern is formed in such layers. A feature is etched only partially into the substrate material using the hard mask layers with the feature pattern therein as a mask. After the partial etching, at least one of the hard mask layers is etched selectively relative to the substrate material and remaining of the hard mask layers. After etching at least one of the hard mask layers, the feature is further etched into the substrate material using at least an innermost of the hard mask layers as a mask. After the further etching, the innermost hard mask layer and any hard mask layers remaining thereover are removed from the substrate, and at least a portion of the feature is incorporated into an integrated circuit.
    Type: Grant
    Filed: July 19, 2005
    Date of Patent: December 28, 2010
    Assignee: Micron Technology, Inc.
    Inventors: Mirzafer Abatchev, Gurtej S. Sandhu, Aaron R. Wilson, Tony Schrock
  • Patent number: 7858525
    Abstract: A method including introducing a fluorine-free organometallic precursor in the presence of a substrate; and forming a conductive layer including a moiety of the organometallic precursor on the substrate according to an atomic layer or chemical vapor deposition process. A method including forming an opening through a dielectric layer to a contact point; introducing a fluorine-free copper film precursor and a co-reactant; and forming a copper-containing seed layer in the opening. A system including a computer including a microprocessor electrically coupled to a printed circuit board, the microprocessor including conductive interconnect structures formed from fluorine-free organometallic precursor.
    Type: Grant
    Filed: March 30, 2007
    Date of Patent: December 28, 2010
    Assignee: Intel Corporation
    Inventors: Juan E. Dominguez, Adrien R. Lavoie, John J. Plombon, Joseph H. Han, Harsono S. Simka, Bryan C. Hendrix, Gregory T. Stauf
  • Publication number: 20100311213
    Abstract: A method of forming an inverted T shaped channel structure having a vertical channel portion and a horizontal channel portion for an Inverted T channel Field Effect Transistor ITFET device comprises providing a semiconductor substrate, providing a first layer of a first semiconductor material over the semiconductor substrate and providing a second layer of a second semiconductor material over the first layer. The first and the second semiconductor materials are selected such that the first semiconductor material has a rate of removal which is less than a rate of removal of the second semiconductor material. The method further comprises removing a portion of the first layer and a portion of the second layer selectively according to the different rates of removal so as to provide a lateral layer and the vertical channel portion of the inverted T shaped channel structure and removing a portion of the lateral layer so as to provide the horizontal channel portion of the inverted T shaped channel structure.
    Type: Application
    Filed: October 3, 2007
    Publication date: December 9, 2010
    Applicant: Freescale Semiconductor, Inc.
    Inventors: Marius Orlowski, Andreas Wild
  • Patent number: 7846756
    Abstract: A method of making a device is disclosed including: forming a first hard mask layer over an underlying layer; forming a first imprint resist layer over the underlying layer; forming first features over the first hard mask layer by bringing a first imprint template in contact with the first imprint resist layer; forming a first spacer layer over the first features; etching the first spacer layer to form a first spacer pattern and to expose top of the first features; removing the first features; patterning the first hard mask, using the first spacer pattern as a mask, to form first hard mask features; and etching at least part of the underlying layer using the first hard mask features as a mask.
    Type: Grant
    Filed: December 31, 2008
    Date of Patent: December 7, 2010
    Assignee: SanDisk 3D LLC
    Inventors: Bing K. Yen, Chun-Ming Wang, Yung-Tin Chen, Steven Maxwell
  • Patent number: 7829465
    Abstract: The present invention provides a method of etching features in a substrate. The method comprising the steps of placing the substrate on a substrate support in a vacuum chamber. An alternatingly and repeating process is performed on the substrate until a predetermined trench depth and a predetermined sidewall angle are achieved. One part of the process is a deposition step which is carried out by introducing at least one polymer containing gas into the vacuum chamber. A plasma is ignited from the polymer containing gas which is then used to deposit a polymer on the substrate. The other part of the alternatingly and repeating process is an etching step which is carried out by introducing an etchant containing gas, a polymer containing gas and a scavenger containing gas into the vacuum chamber. A plasma is ignited from the etchant containing gas, the polymer containing gas and the scavenger containing gas which is then used to etch the substrate.
    Type: Grant
    Filed: August 6, 2007
    Date of Patent: November 9, 2010
    Inventors: Shouliang Lai, Ken Mackenzie, David Johnson
  • Publication number: 20100279480
    Abstract: A method of forming a small geometry feature. The method includes forming a source layer on a top surface of a substrate; forming a mandrel on a top surface of the source layer, the mandrel having a sidewall; sputtering material from the source layer onto the sidewall of the mandrel to form a sidewall layer on the sidewall of the mandrel; and removing the mandrel. Also methods to forming wires and field effect transistors of integrated circuits.
    Type: Application
    Filed: February 11, 2008
    Publication date: November 4, 2010
    Inventors: James William Adkisson, James Peter Gambino, Robert Kenneth Leidy, Walter Victor Lepuschenko, David Alan Meatyard, Stephen A. Mongeon, Richard John Rassel
  • Patent number: 7820512
    Abstract: In general, in one aspect, a method includes forming a semiconductor substrate having N-diffusion and P-diffusion regions. A gate stack is formed over the semiconductor substrate. A gate electrode hard mask is formed over the gate stack. The gate electrode hard mask is augmented around pass gate transistors with a spacer material. The gate stack is etched using the augmented gate electrode hard mask to form the gate electrodes. The gate electrodes around the pass gate have a greater length than other gate electrodes.
    Type: Grant
    Filed: December 28, 2007
    Date of Patent: October 26, 2010
    Assignee: Intel Corporation
    Inventors: Ravi Pillarisetty, Suman Datta, Jack Kavalieros, Brian S. Doyle, Uday Shah
  • Patent number: 7816162
    Abstract: After a p-type cladding layer, an etching rate reducing layer and a p-type contact layer are formed in order on an n-type substrate, an etching mask is formed. Then, by using the etching mask, the p-type contact layer, the etching rate reducing layer and the p-type cladding layer are partially etched in the region outside the etching mask with an etchant. At this time, the etching rate of the layers by the etchant is slower in the etching rate reducing layer than in the p-type cladding layer and the p-type contact layer. Then, a metal thin film is formed such that the film continuously coats an upper surface and side surfaces of a ridge consisting of the above layers left after the etching step. A normal vector at a surface coated with the thin film has an upward component.
    Type: Grant
    Filed: July 9, 2009
    Date of Patent: October 19, 2010
    Assignee: Sharp Kabushiki Kaisha
    Inventors: Shuichi Hirukawa, Katsuhiko Kishimoto
  • Publication number: 20100252810
    Abstract: Methodologies and gate etching processes are presented to enable the fabrication of gate conductors of semiconductor devices, such as NFETs and/or PFETs, which are equipped with nano-channels. In one embodiment, a sacrificial spacer of equivalent thickness to the diameter of the gate nano-channel is employed and is deposited after patterning the gate conductor down to the gate dielectric. The residue gate material that is beneath the nano-channel is removed utilizing a medium to high density, bias-free, fluorine-containing or fluorine- and chlorine-containing isotropic etch process without compromising the integrity of the gate. In another embodiment, an encapsulation/passivation layer is utilized. In yet further embodiment, no sacrificial spacer or encapsulation/passivation layer is used and gate etching is performed in an oxygen and nitrogen-free ambient.
    Type: Application
    Filed: April 3, 2009
    Publication date: October 7, 2010
    Applicant: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Nicholas C. M. Fuller, Sarunya Bangsaruntip, Guy Cohen, Sebastian U. Engelmann, Lidija Sekaric, Qingyun Yang, Ying Zhang
  • Patent number: 7807575
    Abstract: A method of forming features on a target layer. The features have a critical dimension that is triple- or quadruple-reduced compared to the critical dimension of portions of a resist layer used as a mask. An intermediate layer is deposited over a target layer and the resist layer is formed over the intermediate layer. After patterning the resist layer, first spacers are formed on sidewalls of remaining portions of the resist layer, masking portions of the intermediate layer. Second spacers are formed on sidewalls of the portions of the intermediate layer. After removing the portions of the intermediate layer, the second spacers are used as a mask to form the features on the target layer. A partially fabricated integrated circuit device is also disclosed.
    Type: Grant
    Filed: November 29, 2006
    Date of Patent: October 5, 2010
    Assignee: Micron Technology, Inc.
    Inventor: Baosuo Zhou
  • Patent number: 7807557
    Abstract: A semiconductor device includes: source/drain regions formed in a semiconductor substrate; a trapping film for storing information by accumulating charges, the trapping film being formed in a region on the semiconductor substrate which includes a region on a channel region between the source/drain regions; and gate electrodes formed on the trapping film. A silicon nitride film containing carbon is formed by low pressure CVD using an organic material so as to cover the gate electrodes and a part of the trapping film which is located between adjacent gate electrodes.
    Type: Grant
    Filed: June 5, 2007
    Date of Patent: October 5, 2010
    Assignee: Panasonic Corporation
    Inventors: Koji Yoshida, Masataka Kusumi, Hiroaki Kuriyama, Fumihiko Noro, Nobuyoshi Takahashi
  • Patent number: 7803709
    Abstract: A method of fabricating patterns of a semiconductor device includes the steps of forming first sacrificial layer patterns over a pattern target layer; forming first spacers on sidewalls of the first sacrificial layer patterns; forming a second sacrificial layer pattern over the first sacrificial layer patterns and the first spacers such that at least one of the first spacers is exposed by the second sacrificial layer pattern; forming a dual spacer by forming a second spacer on the exposed first spacer; removing the second sacrificial layer pattern and the first sacrificial layer patterns; and forming a first pattern having a first pitch defined by the first spacers and a second pattern having a second pitch defined by the dual spacer by etching an exposed portion of the pattern target layer using the first spacers and the dual spacer as etching masks.
    Type: Grant
    Filed: December 4, 2007
    Date of Patent: September 28, 2010
    Assignee: Hynix Semiconductor Inc.
    Inventor: Hyoung Soon Yune
  • Patent number: 7794614
    Abstract: One possible embodiment is a method of manufacturing a structure on or in a substrate with the following steps a) positioning at least one spacer structure by a spacer technique on the substrate, b) using at least one of the groups of the spacer structure and a structure generated by the spacer structure as a mask for a subsequent particle irradiation step for generating a latent image in the substrate c) using the latent image for further processing the substrate.
    Type: Grant
    Filed: May 29, 2007
    Date of Patent: September 14, 2010
    Assignee: Qimonda AG
    Inventors: Rolf Weis, Christoph Noelscher
  • Patent number: 7795148
    Abstract: A method for removing a damaged dielectric material following an etch process, an ashing process, or a wet cleaning process is described. A dry, non-plasma removal process is implemented to remove a thin layer of damaged material on a feature following formation of the feature. The dry, non-plasma removal process includes a chemical treatment of the damaged material, followed by a thermal treatment of the chemically treated surface layer. The two steps, chemical and thermal treatment, can be repeated.
    Type: Grant
    Filed: March 28, 2006
    Date of Patent: September 14, 2010
    Assignee: Tokyo Electron Limited
    Inventor: Ian J. Brown
  • Patent number: 7795149
    Abstract: A reticle comprising isolated pillars is configured for use in imprint lithography. In some embodiments, on a first substrate a pattern of pillars pitch-multiplied in two dimensions is formed in an imprint reticle. The imprint reticle is brought in contact with a transfer layer overlying a series of mask layers, which in turn overlie a second substrate. The pattern in the reticle is transferred to the transfer layer, forming an imprinted pattern. The imprinted pattern is transferred to the second substrate to form densely-spaced holes in the substrate. In other embodiments, a reticle is patterned by e-beam lithography and spacer formations. The resultant pattern of closely-spaced pillars is used to form containers in an active integrated circuit substrate.
    Type: Grant
    Filed: June 1, 2006
    Date of Patent: September 14, 2010
    Assignee: Micron Technology, Inc.
    Inventor: Gurtej S. Sandhu
  • Publication number: 20100221920
    Abstract: Methods are disclosed, such as those involving increasing the density of isolated features in an integrated circuit. Also disclosed are structures associated with the methods. In one or more embodiments, contacts are formed on pitch with other structures, such as conductive interconnects. The interconnects may be formed by pitch multiplication. To form the contacts, in some embodiments, a pattern corresponding to some of the contacts is formed in a selectively definable material such as photoresist. The features in the selectively definable material are trimmed to desired dimensions. Spacer material is blanket deposited over the features in the selectively definable material and the deposited material is then etched to leave spacers on sides of the features. The selectively definable material is removed to leave a mask defined by the spacer material. The pattern defined by the spacer material may be transferred to a substrate, to form on pitch contacts.
    Type: Application
    Filed: May 17, 2010
    Publication date: September 2, 2010
    Applicant: MICRON TECHNOLOGY, INC.
    Inventors: Gurtej Sandhu, Mark Kiehlbauch, Steve Kramer, John Smythe
  • Patent number: 7786013
    Abstract: The present invention relates to methods of fabricating semiconductor devices, including forming a trench in a semiconductor substrate by a reactive ion etching (RIE) method with a reactive product of film stack of a carbon film/silicon oxide film/carbon-containing silicon oxide film, the trench having an inner surface; and removing the reactive product, by treating the trench with diluted hydrofluoric acid to remove the carbon film and the silicon oxide film followed by treating the film by a hydrofluoric acid vapor phase cleaning (HFVPC) method to remove the carbon-containing silicon oxide film.
    Type: Grant
    Filed: October 5, 2007
    Date of Patent: August 31, 2010
    Assignee: Kabushiki Kaisha Toshiba
    Inventors: Hiroaki Tsunoda, Masahisa Sonoda
  • Patent number: 7776744
    Abstract: Spacers in a pitch multiplication process are formed without performing a spacer etch. Rather, the mandrels are formed over a substrate and then the sides of the mandrels are reacted, e.g., in an oxidization, nitridation, or silicidation step, to form a material that can be selectively removed relative to the unreacted portions of the mandrel. The unreacted portions are selectively removed to leave a pattern of free-standing spacers. The free-standing spacers can serve as a mask for subsequent processing steps, such as etching the substrate.
    Type: Grant
    Filed: September 1, 2005
    Date of Patent: August 17, 2010
    Assignee: Micron Technology, Inc.
    Inventors: Gurtej S. Sandhu, Kirk D. Prall
  • Patent number: 7776644
    Abstract: For fabricating a phase change memory cell, a layer of phase change material and a layer of a first electrode material are deposited. In addition, the first electrode material is patterned using an etchant including a low-reactivity halogen element such as bromine or iodine to form a first electrode. By using the low-reactivity halogen element, change to the composition of the phase change material and formation of undercut and deleterious halogen by-product are avoided.
    Type: Grant
    Filed: November 10, 2006
    Date of Patent: August 17, 2010
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Hong Cho, Seung-Pil Chung, Young-Jae Kim
  • Patent number: 7772122
    Abstract: An etch layer underlying a patterned photoresist mask is provided. A plurality of sidewall forming processes are performed. Each sidewall forming process comprises depositing a protective layer on the patterned photoresist mask by performing multiple cyclical depositions. Each cyclical deposition involves at least a depositing phase for depositing a deposition layer over surfaces of the patterned photoresist mask and a profile shaping phase for shaping vertical surfaces in the deposition layer. Each sidewall forming process further comprises a breakthrough etch for selectively etching horizontal surfaces of the protective layer with respect to vertical surfaces of the protective layer. Afterwards, the etch layer is etched to form a feature having a critical dimension that is less than the critical dimension of the features in the patterned photoresist mask.
    Type: Grant
    Filed: September 18, 2008
    Date of Patent: August 10, 2010
    Assignee: Lam Research Corporation
    Inventors: Peter Cirigliano, Helen Zhu, Ji Soo Kim, S. M. Reza Sadjadi
  • Patent number: 7772121
    Abstract: A method of layer formation on a substrate with high aspect ratio features is disclosed. The layer is formed from a gas mixture comprising one or more process gases and one or more etch species. The one or more process gases react to deposit a material layer on the substrate. In conjunction with the material layer deposition, the etch species selectively remove portions of the deposited material layer adjacent to high aspect ratio feature openings, filling such features in a void-free and/or seam-free manner. The material layer may be deposited on the substrate using physical vapor deposition (PVD) and/or chemical vapor deposition (CVD) techniques.
    Type: Grant
    Filed: June 15, 2006
    Date of Patent: August 10, 2010
    Assignee: Applied Materials, Inc.
    Inventors: Liang-Yuh Chen, Daniel A. Carl, Israel Beinglass
  • Patent number: 7772048
    Abstract: A semiconductor device is made by steps of removing portions of a first capping layer, removing portions of a sacrificial layer, recessing sidewalls, and forming fin structures. The step of removing portions of the first capping layer forms a first capping structure that covers portions of the sacrificial layer. The step of removing portions of the sacrificial layer removes portions of the sacrificial layer that are not covered by the first capping structure to define an intermediate structure. The step of recessing the sidewalls recesses sidewalls of the intermediate structure relative to edge regions of the first capping structure to form a sacrificial structure having recessed sidewalls. The step of forming fin structures forms fin structures adjacent to the recessed sidewalls.
    Type: Grant
    Filed: February 23, 2007
    Date of Patent: August 10, 2010
    Assignee: Freescale Semiconductor, Inc.
    Inventors: Robert E. Jones, Rickey S. Brownson
  • Patent number: 7763542
    Abstract: A semiconductor memory device includes a semiconductor substrate. An inter-layer dielectric is disposed on the semiconductor substrate. A bit line is disposed on the inter-layer dielectric. A bit line spacer is fabricated of a nitride layer containing boron and/or carbon and covers sidewalls of the bit line. A method of fabricating the semiconductor memory device is also provided.
    Type: Grant
    Filed: August 16, 2006
    Date of Patent: July 27, 2010
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Jin-Gyun Kim, Ki-Sun Kim, Jae-Young Ahn
  • Patent number: 7759244
    Abstract: A method for fabricating an inductor structure or a dual damascene structure includes following steps. First, a dielectric layer is provided. Subsequently, a first etching process is performed on the dielectric layer so as to form a first opening in the dielectric layer. A polymer is also formed in the first opening during the first etching process. Next, a polymer-removing step is performed to remove the polymer. Thereafter, a second etching process is performed on the dielectric layer to form a second opening in the dielectric layer. Furthermore, the first opening and the second opening are filled with a conductive material so as to form an inductor structure or a dual damascene structure.
    Type: Grant
    Filed: May 10, 2007
    Date of Patent: July 20, 2010
    Assignee: United Microelectronics Corp.
    Inventor: Jeng-Ho Wang
  • Patent number: 7759138
    Abstract: A method of fabricating a microchannel plate includes forming a plurality of pores in a silicon substrate. The plurality of pores is oxidized, thereby consuming silicon at surfaces of the plurality of pores and forming a silicon dioxide layer over the plurality of pores. At least a portion of the silicon dioxide layer is stripped, which reduces a surface roughness of the plurality of pores. A semiconducting layer can be deposited onto the surface of the silicon dioxide layer. The semiconducting layer is then oxidized, thereby consuming at least some of the polysilicon or amorphous silicon layer and forming an insulating layer. Resistive and secondary electron emissive layers are then deposited on the insulating layer by atomic layer deposition.
    Type: Grant
    Filed: September 20, 2008
    Date of Patent: July 20, 2010
    Assignee: Arradiance, Inc.
    Inventors: David Beaulieu, Neal T. Sullivan
  • Publication number: 20100173496
    Abstract: A method of forming spacers from a non-silicon oxide, silicon containing spacer layer with horizontal surfaces and sidewall surfaces over a substrate is provided. A plasma oxidation treatment is provided to form a silicon oxide coating over the spacer layer, wherein the silicon oxide coating provides a horizontal coating on the horizontal surfaces and sidewall coatings on the sidewall surfaces of the spacer layer. An anisotropic main etch that selectively etches horizontal surfaces of the spacer layer and silicon oxide coating with respect to sidewall surfaces of the spacer layer and the sidewall coatings of the silicon oxide coating is provided. The spacer layer is etched, wherein the sidewall coatings of the silicon oxide coating protect sidewall surfaces of the spacer layer.
    Type: Application
    Filed: January 7, 2009
    Publication date: July 8, 2010
    Applicant: LAM RESEARCH CORPORATION
    Inventors: Qinghua Zhong, Sung Cho, Gowri Kamarthy, Linda Braly
  • Patent number: 7745337
    Abstract: A method that includes forming a gate of a semiconductor device on a substrate, and etching sidewall spacers on sides of the gate to provide a proximity value, where the proximity value is defined as a distance between the gate and an edge of a performance-enhancing region. The sidewall spacers are used to define the edge of the region during formation of the region in the substrate. The method also includes pre-cleaning the gate and the substrate in preparation for formation of the region, where the etching and the pre-cleaning are performed in a continuous vacuum.
    Type: Grant
    Filed: May 19, 2008
    Date of Patent: June 29, 2010
    Assignee: Globalfoundries Inc.
    Inventors: David G. Farber, Fred Hause, Markus Lenski, Anthony C. Mowry
  • Patent number: 7737039
    Abstract: Methods are disclosed, such as those involving increasing the density of isolated features in an integrated circuit. Also disclosed are structures associated with the methods. In one or more embodiments, contacts are formed on pitch with other structures, such as conductive interconnects. The interconnects may be formed by pitch multiplication. To form the contacts, in some embodiments, a pattern corresponding to some of the contacts is formed in a selectively definable material such as photoresist. The features in the selectively definable material are trimmed to desired dimensions. Spacer material is blanket deposited over the features in the selectively definable material and the deposited material is then etched to leave spacers on sides of the features. The selectively definable material is removed to leave a mask defined by the spacer material. The pattern defined by the spacer material may be transferred to a substrate, to form on pitch contacts.
    Type: Grant
    Filed: November 1, 2007
    Date of Patent: June 15, 2010
    Assignee: Micron Technology, Inc.
    Inventors: Gurtej Sandhu, Mark Kiehlbauch, Steve Kramer, John Smythe
  • Publication number: 20100144153
    Abstract: A method of fabricating a substrate includes forming spaced first features and spaced second features over a substrate. The first and second features alternate with one another and are spaced relative one another. Width of the spaced second features is laterally trimmed to a greater degree than any lateral trimming of width of the spaced first features while laterally trimming width of the spaced second features. After laterally trimming of the second features, spacers are formed on sidewalls of the spaced first features and on sidewalls of the spaced second features. The spacers are of some different composition from that of the spaced first features and from that of the spaced second features. After forming the spacers, the spaced first features and the spaced second features are removed from the substrate. The substrate is processed through a mask pattern comprising the spacers. Other embodiments are disclosed.
    Type: Application
    Filed: December 4, 2008
    Publication date: June 10, 2010
    Inventors: Scott Sills, Gurtej S. Sandhu, Anton deVilliers
  • Publication number: 20100123122
    Abstract: Select devices including an open volume that functions as a high bandgap material having a low dielectric constant are disclosed. The open volume may provide a more nonlinear, asymmetric I-V curve and enhanced rectifying behavior in the select devices. The select device may comprise, for example, a metal-insulator-insulator-metal (MIIM) device. Various methods may be used to form select devices and memory systems including such select devices. Memory devices and electronic systems include such select devices.
    Type: Application
    Filed: November 19, 2008
    Publication date: May 20, 2010
    Applicant: MICRON TECHNOLOGY, INC.
    Inventors: Bhaskar Srinivasan, Gurtej S. Sandhu
  • Patent number: 7718502
    Abstract: A semiconductor apparatus includes a wiring pattern, an insulating film, and a thin-metal-film resistor element. The insulating film is formed on the wiring pattern having connection holes vertically penetrating there-through to expose part of the wiring pattern at bottom regions of the connection holes. The connection holes are arranged with a space there-between. The thin-metal-film resistor element is formed on the insulating film and extending to continuously overlay and contact surfaces of the insulating film, inner walls of the connection holes, and the wiring pattern at the bottom regions of the connection holes.
    Type: Grant
    Filed: November 14, 2007
    Date of Patent: May 18, 2010
    Assignee: Ricoh Company, Ltd.
    Inventors: Kimihiko Yamashita, Yasunori Hashimoto
  • Publication number: 20100120247
    Abstract: Fine patterns are formed by forming an etch-target layer on a substrate; forming support patterns on the etch-target layer; forming first spacer patterns on sidewalls of the support patterns; forming second spacer patterns coming in contact with the first spacer patterns; removing the support patterns; and etching the etch-target layer by using the first spacer patterns and the second spacer patterns as an etch mask.
    Type: Application
    Filed: September 15, 2009
    Publication date: May 13, 2010
    Inventor: Hyungmoo Park
  • Patent number: 7713801
    Abstract: A method for making a semiconductor structure (10) includes providing a wafer with a structure (16) having a sidewall, forming a sidewall spacer (22) adjacent to the sidewall, and forming a layer of material (28) over the wafer including over the sidewall spacer and over the structure having the sidewall. The method further includes etching the layer, wherein the etching (i) leaves at least portions of the sidewall spacer exposed and (ii) leaves a portion of the layer located over the structure having a sidewall. The portion of the layer located over the structure having a sidewall is reduced in thickness by the etching. Subsequent to etching the layer, the method includes removing the sidewall spacer.
    Type: Grant
    Filed: March 30, 2007
    Date of Patent: May 11, 2010
    Inventors: Vishal P. Trivedi, Dharmesh Jawarani, Michael D. Turner
  • Patent number: 7709388
    Abstract: A semiconductor device includes an interlayer insulation film, an underlying line provided in the interlayer insulation film, a liner film overlying the interlayer insulation film, an interlayer insulation film overlying the liner film. The underlying line has a lower hole and the liner film and the interlayer insulation film have an upper hole communicating with the lower hole, and the lower hole is larger in diameter than the upper hole. The semiconductor device further includes a conductive film provided at an internal wall surface of the lower hole, a barrier metal provided along an internal wall surface of the upper hole, and a Cu film filling the upper and lower holes. The conductive film contains a substance identical to a substance of the barrier metal. A highly reliable semiconductor device can thus be obtained.
    Type: Grant
    Filed: February 20, 2007
    Date of Patent: May 4, 2010
    Assignee: Renesas Technology Corp.
    Inventors: Kazuyoshi Maekawa, Kenichi Mori
  • Patent number: 7709389
    Abstract: A method of fabricating a semiconductor device comprising a method of forming an etching mask used for etching a semiconductor base material is disclosed. The method of fabricating a semiconductor device comprises forming hard mask patterns on a semiconductor base material; forming material layers covering the lateral and top surfaces of the hard mask patterns to form openings between adjacent hard mask patterns, wherein the width of each opening is smaller than the distance between adjacent hard mask patterns; and etching the semiconductor base material using the hard mask patterns and material layers as an etching mask.
    Type: Grant
    Filed: July 5, 2006
    Date of Patent: May 4, 2010
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Dong-chan Kim, Chang-jin Kang, Kyeong-koo Chi
  • Publication number: 20100102391
    Abstract: In a gated diode ESD protection structure, the gate is split into two parts to divide the total reverse voltage between two gate regions.
    Type: Application
    Filed: October 27, 2008
    Publication date: April 29, 2010
    Inventors: Vladislav Vashchenko, Konstantin G. Korablev
  • Publication number: 20100105209
    Abstract: A method and apparatus for etching a silicon layer through a patterned mask formed thereon are provided. The silicon layer is placed in an etch chamber. An etch gas comprising a fluorine containing gas and an oxygen and hydrogen containing gas is provided into the etch chamber. A plasma is generated from the etch gas and features are etched into the silicon layer using the plasma. The etch gas is then stopped. The plasma may contain OH radicals.
    Type: Application
    Filed: October 23, 2008
    Publication date: April 29, 2010
    Applicant: LAM RESEARCH CORPORATION
    Inventors: Jaroslaw W. Winniczek, Robert P. Chebi
  • Patent number: 7695632
    Abstract: A method for forming a feature in an etch layer is provided. A photoresist layer is formed over the etch layer. The photoresist layer is patterned to form photoresist features with photoresist sidewalls. A control layer is formed over the photoresist layer and bottoms of the photoresist features. A conformal layer is deposited over the sidewalls of the photoresist features and control layer to reduce the critical dimensions of the photoresist features. Openings in the control layer are opened with a control layer breakthrough chemistry. Features are etched into the etch layer with an etch chemistry, which is different from the control layer break through chemistry, wherein the control layer is more etch resistant to the etch with the etch chemistry than the conformal layer.
    Type: Grant
    Filed: May 31, 2005
    Date of Patent: April 13, 2010
    Assignee: Lam Research Corporation
    Inventors: Sangheon Lee, Dae-Han Choi, Jisoo Kim, Peter Cirigliano, Zhisong Huang, Robert Charatan, S.M. Reza Sadjadi
  • Publication number: 20100079924
    Abstract: A method of patterning a metal (141, 341, 841) on a vertical sidewall (132, 332, 832) of an excavated feature (130, 330, 830) includes placing a material (350) in the excavated feature such that a portion (435) of the metal is exposed in the excavated feature above the material, etching the exposed portion of the metal away from the vertical sidewall using a first wet etch chemistry, and removing the material from the excavated feature by etching it away using a second wet etch chemistry. The described method may be used to produce a MIM capacitor (800) suitable for an eDRAM device.
    Type: Application
    Filed: September 30, 2008
    Publication date: April 1, 2010
    Inventors: Steven J. Keating, Nick Lindert, Nadia Rahhal-Orabi, Brian Doyle, Satyarth Suri, Swaminathan Sivakumar, Lana Jong, Lin Sha
  • Patent number: 7678535
    Abstract: A method for fabricating a semiconductor device includes forming a mask pattern over a substrate; etching a certain portion of the substrate using the mask pattern as an etch mask to form a first recess having sidewalls; forming a polymer-based layer over the sidewalls of the first recess and a top surface of the mask pattern; etching the substrate beneath the first recess using the mask pattern and the polymer-based layer as an etch mask to form a second recess wider and more rounded than the first recess, the second recess and the first recess constituting a bulb-shaped recess; and forming a gate pattern over the bulb-shaped recess.
    Type: Grant
    Filed: June 30, 2006
    Date of Patent: March 16, 2010
    Assignee: Hynix Semiconductor, Inc.
    Inventors: Jung-Seock Lee, Ky-Hyun Han
  • Publication number: 20100062604
    Abstract: A method for fabricating a device pattern includes the following steps. A first pattern having a first density is formed in a pre-determined region on a substrate. The first pattern includes a base portion along a first direction and at least two protruding portions along a second direction and connected to the base portion. A spacer is formed on a sidewall of each protruding portion. The spacers are free of connecting with the base portion, and the spacers between two adjacent protruding portions are free of connecting with each other, so as to form a gap between the two adjacent protruding portions. Then, a second pattern is formed on the substrate and located in the gap, such that a third pattern having a second density is defined in the pre-determined region by the first pattern and the second pattern.
    Type: Application
    Filed: November 12, 2008
    Publication date: March 11, 2010
    Applicant: NANYA TECHNOLOGY CORPORATION
    Inventor: Yu-Yao Chang
  • Publication number: 20100055912
    Abstract: A semiconductor fabricating process is provided. First, a substrate is provided. The substrate has thereon a stacked structure and a mask layer disposed on the stacked structure. Thereafter, an oxide layer is formed on a surface of the mask layer and a surface of at least a portion of the stacked structure. Afterwards, a first spacer is formed on a sidewall of the stacked structure. Then, a second spacer is formed on a sidewall of the first spacer. Further, a first etching process is performed to remove the oxide layer on the surface of the mask layer. Thereafter, a second etching process is performed to simultaneously remove the mask layer and the second spacer.
    Type: Application
    Filed: September 2, 2008
    Publication date: March 4, 2010
    Applicant: HEJIAN TECHNOLOGY (SUZHOU) CO., LTD.
    Inventor: Chiu-Te Lee
  • Patent number: 7670952
    Abstract: A method of manufacturing a semiconductor device, comprising forming a metal silicide gate electrode on a semiconductor substrate surface. The method also comprises exposing the metal silicide gate electrode and the substrate surface to a cleaning process. The cleaning process includes a dry plasma etch using an anhydrous fluoride-containing feed gas and a thermal sublimation configured to leave the metal silicide gate electrode substantially unaltered. The method also comprises depositing a metal layer on source and drain regions of the substrate surface and annealing the metal layer and the source and drain regions of the substrate surface to form metal silicide source and drain contacts.
    Type: Grant
    Filed: March 23, 2007
    Date of Patent: March 2, 2010
    Assignee: Texas Instruments Incorporated
    Inventors: Yaw S. Obeng, Juanita DeLoach, Freidoon Mehrad
  • Publication number: 20100029082
    Abstract: Forming a shallow trench capacitor in conjunction with an FET by forming a plurality of STI trenches; for the FET, implanting a first cell well having a first polarity between a first and a second of the STI trenches; for the capacitor, implanting a second cell well having a second polarity in an area of a third of the STI trenches; removing dielectric material from the third STI trench; forming a gate stack having a first portion located between the first and the second of the STI trenches and a second portion located over and extending into the third trench; and performing a source/drain implant of the same polarity as the second cell well, thereby forming a FET in the first cell well, and a capacitor in the second cell well. The second polarity may be opposite from the first polarity. An additional implant may reduce ESR in the second cell well.
    Type: Application
    Filed: August 4, 2008
    Publication date: February 4, 2010
    Applicant: International Business Machines Corporation
    Inventors: Daewon Yang, Kangguo Cheng, Pavel Smetana, Richard S. Wise, Keith Kwong Hon Wong
  • Patent number: 7648910
    Abstract: A method of manufacturing an opening is described. First, a substrate including a conductive portion and a dielectric layer both formed thereon is provided. The conductive portion at least includes a conductive layer and a passivation layer from bottom-up, and the dielectric layer covers the conductive portion. A first dry etching step is then performed to form an opening on the passivation layer by using a reactive gas containing a high polymer gas. The bottom of the opening has an initial dimension, and an obtuse angle is included by the bottom of the opening and an inner sidewall of the opening. Next, an opening enlarging step is performed to reach a target dimension of the bottom of the opening. The target dimension is larger than the initial dimension and to the least extent the conductive layer is not exposed by the opening.
    Type: Grant
    Filed: May 15, 2007
    Date of Patent: January 19, 2010
    Assignee: Winbond Electronics Corp.
    Inventors: Ching-Jen Han, Wen-Shun Lo, Yung-Han Chiu
  • Patent number: 7635898
    Abstract: Semiconductor devices and methods for fabricating a semiconductor devices are disclosed. A disclosed method comprises: forming a first gate electrode functioning as a flash memory; forming first spacers on sidewalls of the first gate electrode; forming a second gate electrode functioning as a normal gate electrode; forming a source/drain region with a shallow junction by performing a first ion implantation process using at least one of the first spacers as a mask; forming second spacers on a sidewall of the first spacer and on sidewalls of the second gate electrode; forming a source/drain region with a deep junction by performing a second ion implantation process using the second spacers as a mask.
    Type: Grant
    Filed: June 18, 2007
    Date of Patent: December 22, 2009
    Assignee: Dongbu Electronics Co., Ltd.
    Inventors: Seok Su Kim, Chee Hong Choi
  • Publication number: 20090311867
    Abstract: A mold having an open interior volume is used to define patterns. The mold has a ceiling, floor and sidewalls that define the interior volume and inhibit deposition. One end of the mold is open and an opposite end has a sidewall that acts as a seed sidewall. A first material is deposited on the seed sidewall. A second material is deposited on the deposited first material. The deposition of the first and second materials is alternated, thereby forming alternating rows of the first and second materials in the interior volume. The mold and seed layer are subsequently selectively removed. In addition, one of the first or second materials is selectively removed, thereby forming a pattern including free-standing rows of the remaining material. The free-standing rows can be utilized as structures in a final product, e.g., an integrated circuit, or can be used as hard mask structures to pattern an underlying substrate. The mold and rows of material can be formed on multiple levels.
    Type: Application
    Filed: June 17, 2008
    Publication date: December 17, 2009
    Applicant: MICRON TECHNOLOGY, INC.
    Inventor: Gurtej Sandhu
  • Publication number: 20090305506
    Abstract: A method of self-aligned dual patterning is described. The method includes first providing a substrate having a stack of films thereon. A template mask is then formed above the stack of films. A liner layer is formed above the stack of films and conformal with the template mask. A spacer-forming material layer is formed over and conformal with the liner layer. The spacer-forming material layer is then etched to form a spacer mask and to exose a portion of the liner layer. The exposed portion of the liner layer and the template mask are then removed. Finally, an image of the spacer mask is transferred to the stack of films.
    Type: Application
    Filed: June 9, 2008
    Publication date: December 10, 2009
    Inventor: JOERG LINZ
  • Patent number: 7628897
    Abstract: A film is deposited on a substrate disposed in a substrate processing chamber. The substrate has a trench formed between adjacent raised surfaces. A first portion of the film is deposited over the substrate from a first gaseous mixture flowed into the process chamber by chemical-vapor deposition. Thereafter, the first portion is etched by flowing an etchant gas having a halogen precursor, a hydrogen precursor, and an oxygen precursor into the process chamber. Thereafter, a second portion of the film is deposited over the substrate from a second gaseous mixture flowed into the processing chamber by chemical-vapor deposition.
    Type: Grant
    Filed: September 12, 2003
    Date of Patent: December 8, 2009
    Assignee: Applied Materials, Inc.
    Inventors: Hemant P. Mungekar, Anjana M. Patel, Manoj Vellaikal, Anchuan Wang, Bikram Kapoor
  • Publication number: 20090267150
    Abstract: A method for fabricating a semiconductor device comprises: forming a gate pattern over a silicon active region and an insulating layer, which form a semiconductor substrate; removing the silicon active region exposed between the gate patterns; and filling a space between the gate patterns to form a plug.
    Type: Application
    Filed: December 2, 2008
    Publication date: October 29, 2009
    Applicant: HYNIX SEMICONDUCTOR INC.
    Inventor: Su Ock Chung