Formation Of Groove Or Trench Patents (Class 438/700)
  • Patent number: 8358010
    Abstract: A method for realizing a nanometric circuit architecture includes: realizing plural active areas on a semiconductor substrate; realizing on the substrate a seed layer of a first material; realizing a mask-spacer of a second material on the seed layer in a region comprised between the active areas; realizing a mask overlapping the mask-spacer and extending in a substantially perpendicular direction thereto; selectively removing the seed layer exposed on the substrate; selectively removing the mask and the mask-spacer obtaining a seed-spacer comprising a linear portion extending in that region and a portion substantially orthogonal thereto; realizing by MSPT from the seed-spacer an insulating spacer reproducing at least part of the profile of the seed-spacer; realizing by MSPT a nano-wire of conductive material from the seed-spacer or insulating spacer, the nano-wire comprising a first portion at least partially extending in the region and a second portion contacting a respective active area.
    Type: Grant
    Filed: February 28, 2005
    Date of Patent: January 22, 2013
    Assignee: STMicroelectronics S.r.l.
    Inventors: Danilo Mascolo, Gianfranco Cerofolini
  • Patent number: 8357600
    Abstract: A method for fabricating a semiconductor device is provided, the method includes forming a plug conductive layer over an entire surface of a substrate, etching the plug conductive layer to form landing plugs, etching the substrate between the landing plugs to form a trench, forming a gate insulation layer over a surface of the trench and forming a buried gate partially filling the trench over the gate insulation layer.
    Type: Grant
    Filed: November 6, 2009
    Date of Patent: January 22, 2013
    Assignee: Hynix Semiconductor Inc.
    Inventors: Jong-Han Shin, Jum-Yong Park
  • Patent number: 8357989
    Abstract: A semiconductor device which eliminates the need for high fillability through a simple process and a method for manufacturing the same. A high breakdown voltage lateral MOS transistor including a source region and a drain region is completed on a surface of a semiconductor substrate. A trench which surrounds the transistor when seen in a plan view is made in the surface of the semiconductor substrate. An insulating film is formed over the transistor and in the trench so as to cover the transistor and form an air-gap space in the trench. Contact holes which reach the source region and drain region of the transistor respectively are made in an interlayer insulating film.
    Type: Grant
    Filed: September 15, 2010
    Date of Patent: January 22, 2013
    Assignee: Renesas Electronics Corporation
    Inventors: Kazuma Onishi, Yoshitaka Otsu, Hiroshi Kimura, Tetsuya Nitta, Shinichiro Yanagi, Katsumi Morii
  • Publication number: 20130017684
    Abstract: A process of forming a slit in a substrate is provided. A mask layer is formed on a substrate, wherein the mask layer does not include carbon. An etching process is performed to be substrate by using the mask layer as a mask, so as to form a slit in the substrate. The etching gas includes Cl2, CF4 and CHF3, a molar ratio of CF4 to CHF3 is about 0.5-0.8, and a molar ratio of F to Cl is about 0.4-0.8, for example. Further, the step of performing the etching process simultaneously removes the mask layer.
    Type: Application
    Filed: July 11, 2011
    Publication date: January 17, 2013
    Applicant: NANYA TECHNOLOGY CORPORATION
    Inventors: Wen-Chieh Wang, Yi-Nan Chen, Hsien-Wen Liu
  • Patent number: 8350363
    Abstract: A via connecting the front surface of a substrate to its rear surface, this substrate including a porous region extending from at least a portion of the periphery of the via, the via including outgrowths extending in pores of the porous region.
    Type: Grant
    Filed: July 7, 2010
    Date of Patent: January 8, 2013
    Assignee: STMicroelectronics (Crolles 2) SAS
    Inventors: Hamed Chaabouni, Lionel Cadix
  • Patent number: 8349717
    Abstract: A semiconductor device, such as a LDMOS device, comprising: a semiconductor substrate; a drain region in the semiconductor substrate; a source region in the semiconductor substrate laterally spaced from the drain region; and a drift region in the semiconductor substrate between the drain region and the source region. A gate is operatively coupled to the source region and is located offset from the drain region on a side of the source region opposite from the drain region. When the device is in an on state, current tends to flow deeper into the drift region to the offset gate, rather than near the device surface. The drift region preferably includes at least first and second stacked JFETs.
    Type: Grant
    Filed: February 22, 2008
    Date of Patent: January 8, 2013
    Assignee: Fairchild Semiconductor Corporation
    Inventor: Jun Cai
  • Patent number: 8343878
    Abstract: A method of plasma etching Ga-based compound semiconductors includes providing a process chamber and a source electrode adjacent thereto. The chamber contains a Ga-based compound semiconductor sample in contact with a platen which is electrically connected to a first power supply, and the source electrode is electrically connected to a second power supply. SiCl4 and Ar gases are flowed into the chamber. RF power is supplied to the platen at a first power level, and RF power is supplied to the source electrode. A plasma is generated. Then, RF power is supplied to the platen at a second power level lower than the first power level and no greater than about 30 W. Regions of a surface of the sample adjacent to one or more masked portions of the surface are etched at a rate of no more than about 25 nm/min to create a substantially smooth etched surface.
    Type: Grant
    Filed: December 15, 2009
    Date of Patent: January 1, 2013
    Assignee: The Board of Trustees of the University of Illinois
    Inventors: Weibin Qiu, Lynford L. Goddard
  • Publication number: 20120329281
    Abstract: A shape defect in a transfer pattern formed over the major surface of a substrate is prevented by using an immersion exposure method. When exposure light is radiated onto a resist, immersion water is held in a first immersion area between each of the lower surfaces of an optical element of a projection optical system and a nozzle portion, and a resist; and when a focus, optical system alignment, or the like, is regulated, the immersion water is held in a second immersion area between each of the lower surfaces of the optical element of the projection optical system and the nozzle portion, and the upper surface of a measurement stage. A transverse spread of the immersion water held in the first immersion area is made smaller than that of the immersion water held in the second immersion area.
    Type: Application
    Filed: June 16, 2012
    Publication date: December 27, 2012
    Inventor: Shuichi YAMAYA
  • Patent number: 8338293
    Abstract: During the patterning of via openings in sophisticated metallization systems of semiconductor devices, the opening may extend through a conductive cap layer and an appropriate ion bombardment may be established to redistribute material of the underlying metal region to exposed sidewall portions of the conductive cap layer, thereby establishing a protective material. Consequently, in a subsequent wet chemical etch process, the probability for undue material removal of the conductive cap layer may be greatly reduced.
    Type: Grant
    Filed: May 17, 2011
    Date of Patent: December 25, 2012
    Assignee: Advanced Micro Devies, Inc.
    Inventors: Christin Bartsch, Daniel Fischer, Matthias Schaller
  • Patent number: 8338305
    Abstract: The present disclosure provides a method includes forming a multi-fin device. The method includes forming a patterned mask layer on a semiconductor substrate. The patterned mask layer includes a first opening having a first width W1 and a second opening having a second width W2 less than the first width. The patterned mask layer defines a multi-fin device region and an inter-device region, wherein the inter-device region is aligned with the first opening; and the multi-fin device region includes at least one intra-device region being aligned with the second opening.
    Type: Grant
    Filed: October 19, 2010
    Date of Patent: December 25, 2012
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Hsin-Chih Chen, Tsung-Lin Lee, Feng Yuan
  • Patent number: 8338308
    Abstract: A method of plasma etching Ga-based compound semiconductors includes providing a process chamber and a source electrode adjacent to the process chamber. The process chamber contains a sample comprising a Ga-based compound semiconductor. The sample is in contact with a platen which is electrically connected to a first power supply, and the source electrode is electrically connected to a second power supply. The method includes flowing SiCl4 gas into the chamber, flowing Ar gas into the chamber, and flowing H2 gas into the chamber. RF power is supplied independently to the source electrode and the platen. A plasma is generated based on the gases in the process chamber, and regions of a surface of the sample adjacent to one or more masked portions of the surface are etched to create a substantially smooth etched surface including features having substantially vertical walls beneath the masked portions.
    Type: Grant
    Filed: December 15, 2009
    Date of Patent: December 25, 2012
    Assignee: The Board of Trustees of the University of Illinois
    Inventors: Weibin Qiu, Lynford L. Goddard
  • Publication number: 20120322173
    Abstract: A system for processing a semiconductor substrate is provided. The system includes a mainframe having a plurality of modules attached thereto. The modules include processing modules, storage modules, and transport mechanisms. The processing modules may include combinatorial processing modules and conventional processing modules, such as surface preparation, thermal treatment, etch and deposition modules. In one embodiment, at least one of the modules stores multiple masks. The multiple masks enable in-situ variation of spatial location and geometry across a sequence of processes and/or multiple layers of a substrate to be processed in another one of the modules. A method for processing a substrate is also provided.
    Type: Application
    Filed: August 28, 2012
    Publication date: December 20, 2012
    Applicant: Intermolecular, Inc.
    Inventors: Tony P. Chiang, Richard R. Endo, James Tsung
  • Patent number: 8334203
    Abstract: An interconnect structure is provided which comprises a semiconductor substrate; a patterned and cured photoresist wherein the photoresist contains a low k dielectric substitutent and contains a fortification layer on its top and sidewall surfaces forming vias or trenches; and a conductive fill material in the vias or trenches. Also provided is a method for fabricating an interconnect structure which comprises depositing a photoresist onto a semiconductor substrate, wherein the photoresist contains a low k dielectric constituent; imagewise exposing the photoresist to actinic radiation; then forming a pattern of vias or trenches in the photoresist; surface fortifying the pattern of vias or trenches proving a fortification layer on the top and sidewalls of the vias or trenches; curing the pattern of vias or trenches thereby converting the photoresist into a dielectric; and filling the vias and trenches with a conductive fill material.
    Type: Grant
    Filed: June 11, 2010
    Date of Patent: December 18, 2012
    Assignee: International Business Machines Corporation
    Inventors: Qinghuang Lin, Dirk Pfeiffer, Ratnam Sooriyakumaran
  • Patent number: 8327540
    Abstract: A method for producing a plate with a first face with protrusions confined by first and second grooves includes steps of: etching recessed zones into a plate; depositing a photoresist layer on the plate; forming a passivation layer over the photoresist layer; removing the passivation layer at the bottom of the recessed zones; electroplating metal in the recessed zones; removing the passivation layer; removing the photoresist layer; and removing the semiconductor material to expose the first and second grooves.
    Type: Grant
    Filed: August 10, 2009
    Date of Patent: December 11, 2012
    Assignee: International Business Machines Corporation
    Inventors: Bruno Michel, Thomas J. Brunschwiler, Hugo E. Rothuizen, Urs Kloter
  • Patent number: 8329572
    Abstract: In a method for fabricating a semiconductor device, first, a first metal interconnect is formed in an interconnect formation region, and a second metal interconnect is formed in a seal ring region. Subsequently, by chemical mechanical polishing or etching, the upper portions of the first metal interconnect and the second metal interconnect are recessed to form recesses. A second insulating film filling the recesses is then formed above a substrate, and the upper portion of the second insulating film is planarized. Next, a hole and a trench are formed to extend halfway through the second insulating film, and ashing and polymer removal are performed. Subsequently to this, the hole and the trench are allowed to reach the first metal interconnect and the second metal interconnect.
    Type: Grant
    Filed: March 18, 2011
    Date of Patent: December 11, 2012
    Assignee: Panasonic Corporation
    Inventor: Shunsuke Isono
  • Patent number: 8329559
    Abstract: In fabricating a microelectromechanical structure (MEMS), a method of forming a narrow gap in the MEMS includes a) depositing a layer of sacrificial material on the surface of a supporting substrate, b) photoresist masking and at least partially etching the sacrificial material to form at least one blade of sacrificial material, c) depositing a structural layer over the sacrificial layer, and d) removing the sacrificial layer including the blade of the sacrificial material with a narrow gap remaining in the structural layer where the blade of sacrificial material was removed.
    Type: Grant
    Filed: April 19, 2007
    Date of Patent: December 11, 2012
    Assignee: The Regents of the University of California
    Inventors: Hideki Takeuchi, Emmanuel P. Quevy, Tsu-Jae King, Roger T. Howe
  • Publication number: 20120306076
    Abstract: A micro-connector fabricated from a semiconductor material is disclosed. The micro-connector has one or more low resistance regions having a predetermined low resistance through its thickness. Opposing surfaces of the semiconductor layer have one or more complementary and opposing receiving volumes and one or more complementary mating elements defined on each of the respective surfaces within the low resistance regions for the receiving of a solder ball bond from, for instance a stackable microelectronic layer or component. The solder ball bonds of a separately provided electronic element can be inserted through the mating elements and into the volume and mechanically affixed and electrically coupled to the micro-connector on each of the surfaces for the electronic coupling of a first electronic element to a second electronic element.
    Type: Application
    Filed: May 24, 2012
    Publication date: December 6, 2012
    Applicant: ISC8 Inc.
    Inventor: Ying Hsu
  • Patent number: 8324107
    Abstract: Methods are disclosed, such as those involving increasing the density of isolated features in an integrated circuit. In one or more embodiments, a method is provided for forming an integrated circuit with a pattern of isolated features having a final density of isolated features that is greater than a starting density of isolated features in the integrated circuit by a multiple of two or more. The method can include forming a pattern of pillars having a density X, and forming a pattern of holes amongst the pillars, the holes having a density at least X. The pillars can be selectively removed to form a pattern of holes having a density at least 2X. In some embodiments, plugs can be formed in the pattern of holes, such as by epitaxial deposition on the substrate, in order to provide a pattern of pillars having a density 2X. In other embodiments, the pattern of holes can be transferred to the substrate by etching.
    Type: Grant
    Filed: January 13, 2010
    Date of Patent: December 4, 2012
    Assignee: Micron Technology, Inc.
    Inventors: Baosuo Zhou, Gurtej S. Sandhu, Ardavan Niroomand
  • Patent number: 8324094
    Abstract: A semiconductor device includes a plurality of first interconnection layers which are provided in an insulating layer and formed in a pattern having a width and space smaller than a resolution limit of an exposure technique, and a second interconnection layer which is provided between the first interconnection layers in the insulating layer and has a width larger than that of a first interconnection layer. A space between the second interconnection layer and each of first interconnection layers adjacent to both sides of the second interconnection layer equals the space between the first interconnection layers.
    Type: Grant
    Filed: July 20, 2011
    Date of Patent: December 4, 2012
    Assignee: Kabushiki Kaisha Toshiba
    Inventors: Masato Endo, Tatsuya Kato
  • Publication number: 20120302062
    Abstract: A method of via formation in a semiconductor device includes the following steps of providing a photoresist with a photoresist pattern defining an opening of a via, wherein the photoresist comprising a thermally cross-linking material is disposed on a structure layer; dry-etching the structure layer to a first depth through the opening; baking the thermally cross-linking material to reduce the opening; and dry-etching the structure layer to a second depth through the reduced opening, wherein the second depth is greater than the first depth.
    Type: Application
    Filed: May 26, 2011
    Publication date: November 29, 2012
    Applicant: NANYA TECHNOLOGY CORPORATION
    Inventors: Chih Ching Lin, Yi Nan Chen, Hsien Wen Liu
  • Publication number: 20120302067
    Abstract: A method of etching trenches into silicon of a semiconductor substrate includes forming a mask over silicon of a semiconductor substrate, with the mask comprising trenches formed there-through. Plasma etching is conducted to form trenches into the silicon of the semiconductor substrate using the mask. In one embodiment, the plasma etching includes forming an etching plasma using precursor gases which include SF6, an oxygen-containing compound, and a nitrogen-containing compound. In one embodiment, the plasma etching includes an etching plasma which includes a sulfur-containing component, an oxygen-containing component, and NFx.
    Type: Application
    Filed: August 9, 2012
    Publication date: November 29, 2012
    Applicant: Micron Technology Inc.
    Inventor: Krupakar M. Subramanian
  • Patent number: 8319317
    Abstract: Problems with a conventional mesa type semiconductor device, which are deterioration in a withstand voltage and occurrence of a leakage current caused by reduced thickness of an insulation film on an inner wall of a mesa groove corresponding to a PN junction, are solved using an inexpensive material, and a mesa type semiconductor device of high withstand voltage and high reliability is offered together with its manufacturing method. A stable protection film made of a thermal oxide film is formed on the inner wall of the mesa groove in the mesa type semiconductor device to cover and protect the PN junction, and an insulation film having negative electric charges is formed to fill a space in the mesa groove covered with the thermal oxide film so that an electron accumulation layer is not easily formed at an interface between an N? type semiconductor layer and the thermal oxide film.
    Type: Grant
    Filed: June 9, 2009
    Date of Patent: November 27, 2012
    Assignees: SANYO Semiconductor Co., Ltd., SANYO Semiconductor Manufacturing Co., Ltd., Semiconductor Components Industries, LLC
    Inventors: Katsuyuki Seki, Naofumi Tsuchiya, Akira Suzuki, Kikuo Okada
  • Patent number: 8318603
    Abstract: Provided is a method of forming patterns for a semiconductor device in which fine patterns and large-width patterns are formed simultaneously and adjacent to each other. In the method, a first layer is formed on a substrate so as to cover a first region and a second region which are included in the substrate. Both a blocking pattern covering a portion of the first layer in the first region and a low-density large-width pattern covering a portion of the first layer in the second region are simultaneously formed. A plurality of sacrificial mask patterns are formed on the first layer and the blocking pattern in the first region. A plurality of spacers covering exposed sidewalls of the plurality of sacrificial mask patterns are formed. The plurality of sacrificial mask patterns are removed.
    Type: Grant
    Filed: December 16, 2009
    Date of Patent: November 27, 2012
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Young-Ho Lee, Jae-Hwang Sim, Sang-Yong Park, Kyung-Lyul Moon
  • Patent number: 8318583
    Abstract: Provided is a method of forming an isolation structure of a semiconductor device capable of minimizing the number of performing a patterning process and having trenches of various depths. The method includes partially etching the semiconductor substrate using a first patterning process to form first trenches and second trenches having a first depth. The semiconductor substrate has first to third regions. The first trenches are formed in the first region, and the second trenched are formed in the second region. The semiconductor substrate is partially etched using a second patterning process, so that third trenches are formed in the third region, and fourth trenches are formed in the second region. The fourth trenches extend from bottoms of the second trenches. The third trenches have a second depth, and the fourth trenches have a third depth. An isolation layer filling the first to fourth trenches is formed.
    Type: Grant
    Filed: December 16, 2009
    Date of Patent: November 27, 2012
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Yong-Sik Jeong, Jeong-Uk Han, Weon-Ho Park, Byung-Sup Shim
  • Patent number: 8318584
    Abstract: The formation of a gap-filling silicon oxide layer with reduced volume fraction of voids is described. The deposition involves the formation of an oxygen-rich less-flowable liner layer before an oxygen-poor more-flowable gapfill layer. However, the liner layer is deposited within the same chamber as the gapfill layer. The liner layer and the gapfill layer may both be formed by combining a radical component with an unexcited silicon-containing precursor (i.e. not directly excited by application of plasma power). The liner layer has more oxygen content than the gapfill layer and deposits more conformally. The deposition rate of the gapfill layer may be increased by the presence of the liner layer. The gapfill layer may contain silicon, oxygen and nitrogen and be converted at elevated temperature to contain more oxygen and less nitrogen. The presence of the gapfill liner provides a source of oxygen underneath the gapfill layer to augment the gas phase oxygen introduced during the conversion.
    Type: Grant
    Filed: June 3, 2011
    Date of Patent: November 27, 2012
    Assignee: Applied Materials, Inc.
    Inventors: DongQing Li, Jingmei Liang, Nitin K. Ingle
  • Patent number: 8313967
    Abstract: A method of epitaxial growth of cubic phase, nitrogen-based compound semiconductor thin films on a semiconductor substrate, for example a <001> substrate, which is periodically patterned with grooves oriented parallel to the <110> crystal direction and terminated in sidewalls, for example <111> sidewalls. The method can provide an epitaxial growth which is able to supply high-quality, cubic phase epitaxial films on a <001> silicon substrate. Controlling nucleation on sidewall facets, for example <111>, fabricated in every groove and blocking the growth of the initial hexagonal phase at the outer region of an epitaxial silicon layer with barrier materials prepared at both sides of each groove allows growth of cubic-phase thin film in each groove and either be extended to macro-scale islands or coalesced with films grown from adjacent grooves to form a continuous film. This can result in a wide-area, cubic phase nitrogen-based compound semiconductor film on a <001> substrate.
    Type: Grant
    Filed: January 21, 2010
    Date of Patent: November 20, 2012
    Assignee: STC.UNM
    Inventors: Seung-Chang Lee, Steven R. J. Brueck
  • Patent number: 8314032
    Abstract: A method for manufacturing a thin film transistor (TFT) through a process including back exposure, in which oxide semiconductor is used for a channel layer; using an electrode over a substrate as a mask, negative resist is exposed to light from the back of the substrate; the negative resist except its exposed part is removed; and an electrode is shaped by etching a conductive film using the exposed part as an etching mask.
    Type: Grant
    Filed: July 17, 2010
    Date of Patent: November 20, 2012
    Assignee: Hitachi, Ltd.
    Inventors: Tetsufumi Kawamura, Hiroyuki Uchiyama, Hironori Wakana, Mutsuko Hatano, Takeshi Sato
  • Patent number: 8310033
    Abstract: A semiconductor integrated circuit having a multi-chip structure includes a number of stacked semiconductor chips. Each of the semiconductor chips includes a first through electrode formed through the semiconductor chip, a first bump pad formed over the semiconductor chip at a region where the first bump pad is separated from the first through electrode, a first internal circuit formed inside the semiconductor chip, coupled to the first through electrode through a first metal path, and coupled to the first bump pad through a second metal path; and a redistribution layer (RDL) formed over a backside of the semiconductor chip.
    Type: Grant
    Filed: July 7, 2010
    Date of Patent: November 13, 2012
    Assignee: Hynix Semiconductor Inc.
    Inventors: Sin-Hyun Jin, Sang-Jin Byeon
  • Patent number: 8309463
    Abstract: A method for forming a contact hole of a semiconductor device according to the present invention forms a contact hole which is defined as a new contact hole region (a second contact hole region), between spacers as well as a contact hole defined within the spacer (a first contact hole region) by a spacer patterning technology (SPT). The present invention with this method can help to form a fine contact hole as a double patterning is used, even with one mask.
    Type: Grant
    Filed: December 30, 2009
    Date of Patent: November 13, 2012
    Assignee: Hynix Semiconductor Inc.
    Inventor: Byoung Hoon Lee
  • Patent number: 8309409
    Abstract: A semiconductor-device fabrication method includes forming a second semiconductor region of a second conductivity on a surface layer of a first semiconductor region of a first conductivity, the second semiconductor region having an impurity concentration higher than the first semiconductor region; forming a trench penetrating the second semiconductor region, to the first semiconductor region; embedding a first electrode inside the trench via an insulating film, at a height lower than a surface of the second semiconductor region; forming an interlayer insulating film inside the trench, covering the first electrode; leaving the interlayer insulating film on only a surface of the first electrode; removing the second semiconductor region such that the surface thereof is positioned lower than an interface between the first electrode and the interlayer insulating film; and forming a second electrode contacting the second semiconductor region and adjacent to the first electrode via the insulating film in the trench.
    Type: Grant
    Filed: February 15, 2011
    Date of Patent: November 13, 2012
    Assignee: Fuji Electric Co., Ltd.
    Inventor: Seiji Momota
  • Patent number: 8304924
    Abstract: The invention provides a composition for sealing a semiconductor, the composition being able to form a thin resin layer, suppress the diffusion of a metal component to a porous interlayer dielectric layer, and exhibit superior adherence with respect to an interconnection material. The composition for sealing a semiconductor contains a resin having two or more cationic functional groups and a weight-average molecular weight of from 2,000 to 100,000; contains sodium and potassium each in an amount based on element content of not more than 10 ppb by weight; and has a volume average particle diameter, measured by a dynamic light scattering method, of not more than 10 nm.
    Type: Grant
    Filed: May 28, 2010
    Date of Patent: November 6, 2012
    Assignee: Mitsui Chemicals, Inc.
    Inventors: Shoko Ono, Kazuo Kohmura
  • Patent number: 8304348
    Abstract: A semiconductor device manufacturing method includes: stacking a plurality of electrode layers containing a semiconductor alternately with insulating layers; processing part of a multilayer body of the electrode layers and the insulating layers into a staircase shape and exposing a surface of the staircase-shaped electrode layers; forming a metal film in contact with the exposed electrode layers; reacting the semiconductor of the electrode layers with the metal film to form a metal compound in at least a portion of the electrode layers in contact with the metal film; removing an unreacted portion of the metal film; forming an interlayer insulating layer covering the staircase-shaped electrode layers after removing the unreacted portion of the metal film; forming a plurality of contact holes piercing the interlayer insulating layer, each of the contact holes reaching the metal compound of the electrode layer at a corresponding stage; and providing a plurality of contact electrodes inside the contact holes.
    Type: Grant
    Filed: February 19, 2010
    Date of Patent: November 6, 2012
    Assignee: Kabushiki Kaisha Toshiba
    Inventor: Junichi Hashimoto
  • Publication number: 20120273821
    Abstract: A method for patterning an epitaxial substrate includes: (a) forming an etch mask layer over an epitaxial substrate, and patterning the etch mask layer using a patterned cover mask layer to form the etch mask layer into a plurality of spaced apart mask patterns; and (b) etching the epitaxial substrate that is exposed from the mask patterns, and removing the mask patterns such that the epitaxial substrate is formed with a plurality of spaced apart substrate patterns.
    Type: Application
    Filed: April 18, 2012
    Publication date: November 1, 2012
    Applicant: SINO-AMERICAN SILICON PRODCUTS INC.
    Inventors: Cheng-Hung Wei, Bo-Wen Lin, Ching-Yen Peng, Hao-Chung Kuo, Wen-Ching Hsu
  • Patent number: 8299564
    Abstract: Formation of transistors, such as, e.g., PMOS transistors, with diffusion regions having different depths for equalization of performance among transistors of an integrated circuit is described. Shallow-trench isolation structures are formed in a substrate formed at least in part of silicon for providing the transistors with at least substantially equivalent channel widths and lengths. A series of masks and etches is performed to form first recesses and second recesses defined in the silicon having different depths and respectively associated with first and second transistors. The second recesses are deeper than the first recesses. A silicon germanium film is formed in the first recesses and the second recesses. The silicon germanium film in the second recesses is thicker than the silicon germanium film in the first recesses, in order to increase performance of the second transistor so it is closer to the performance of the first transistor.
    Type: Grant
    Filed: September 14, 2009
    Date of Patent: October 30, 2012
    Assignee: Xilinx, Inc.
    Inventors: Yun Wu, Bei Zhu, Zhiyuan Wu, Michael J. Hart
  • Patent number: 8298961
    Abstract: A method of forming patterns of a semiconductor device comprises providing a semiconductor substrate comprising a first region wherein first patterns are to be formed and a second region wherein second patterns are to be formed, each of the second patterns having a wider width than the first patterns, forming an etch target layer over the semiconductor substrate, forming first etch patterns over the etch target layer of the first and second regions, forming second etch patterns on both sidewalls of each of the first etch patterns, wherein the second etch pattern formed in the second region has a wider width than the second etch pattern formed in the first region, removing the first etch patterns, forming third etch patterns over the etch target layer of the second region, the third etch pattern overlapping part of the second pattern, and etching the etch target layer using the third etch patterns and the second etch patterns as an etch mask, to form the first and second patterns.
    Type: Grant
    Filed: December 30, 2009
    Date of Patent: October 30, 2012
    Assignee: Hynix Semiconductor Inc.
    Inventor: Sung Kee Park
  • Publication number: 20120270404
    Abstract: The present disclosure provides methods for etching through-silicon vias (TSVs) in a substrate. The method employs a cyclic polymer passivation layer deposition, depassivation process and plasma etching process. By alternating the duration performed in the plasma etching process and the polymer passivation deposition process during the TSVs formation process, a good sidewall profile and via depth control may be obtained.
    Type: Application
    Filed: March 29, 2012
    Publication date: October 25, 2012
    Applicant: APPLIED MATERIALS, INC
    Inventors: Puneet Bajaj, Tong Liu, Khalid Mohiuddin Sirajuddin
  • Patent number: 8293651
    Abstract: A method of forming a thin film pattern includes: forming a thin film on a substrate; forming an amorphous carbon layer including first and second carbon layers on the thin film, wherein the first carbon layer is formed by one of a spin-on method and a plasma enhanced chemical vapor deposition (PECVD) method and the second carbon layer is formed by a physical vapor deposition (PVD) method; forming a hard mask layer on the amorphous carbon layer; forming a PR pattern on the hard mask layer; forming a hard mask pattern by etching the hard mask layer using the PR pattern as an etch mask; forming an amorphous carbon pattern including first and second carbon patterns by etching the amorphous carbon layer using the hard mask pattern as an etch mask; and forming a thin film pattern by etching the thin film using the amorphous carbon pattern.
    Type: Grant
    Filed: October 28, 2008
    Date of Patent: October 23, 2012
    Assignee: Jusung Engineering Co., Ltd.
    Inventors: Hui-Tae Kim, Bong-Soo Kwon, Hack-Joo Lee, Nae-Eung Lee, Jong-Won Shon
  • Publication number: 20120261800
    Abstract: In one embodiment, a method of forming a plug includes providing a base layer, providing an intermediate oxide layer above an upper surface of the base layer, providing an upper layer above an upper surface of the intermediate oxide layer, etching a trench including a first trench portion extending through the upper layer, a second trench portion extending through the oxide layer, and a third trench portion extending into the base layer, depositing a first material portion within the third trench portion, depositing a second material portion within the second trench portion, and depositing a third material portion within the first trench portion.
    Type: Application
    Filed: September 14, 2011
    Publication date: October 18, 2012
    Applicant: ROBERT BOSCH GMBH
    Inventors: Andrew B. Graham, Gary Yama, Gary O'Brien
  • Publication number: 20120262029
    Abstract: Processes for making a membrane having a curved feature are disclosed. Recesses each in the shape of a reversed, truncated pyramid are formed in a planar substrate surface by KOH etching through a mask. An oxide layer is formed over the substrate surface. The oxide layer can be stripped leaving rounded corners between different facets of the recesses in the substrate surface, and the substrate surface can be used as a profile-transferring substrate surface for making a membrane having concave curved features. Alternatively, a handle layer is attached to the oxide layer and the substrate is removed until the backside of the oxide layer becomes exposed. The exposed backside of the oxide layer includes curved portions protruding away from the handle layer, and can provide a profile-transferring substrate surface for making a membrane having convex curved features.
    Type: Application
    Filed: April 13, 2011
    Publication date: October 18, 2012
    Inventors: Gregory De Brabander, Mark Nepomnishy
  • Patent number: 8288217
    Abstract: A field effect transistor device includes a gate stack portion disposed on a substrate, and a channel region in the substrate having a depth partially defined by the gate stack portion and a silicon region of the substrate, the silicon region having a sloped profile such that a distal regions of the channel region have greater depth than a medial region of the channel region.
    Type: Grant
    Filed: November 12, 2010
    Date of Patent: October 16, 2012
    Assignee: International Business Machines Corporation
    Inventors: Dechao Guo, Pranita Kulkarni, Philip J. Oldiges, Alexander Reznicek, Keith Kwong Hon Wong
  • Patent number: 8288230
    Abstract: A transistor with a gate electrode structure is produced by providing a semiconductor body with a first surface, and with a first sacrificial layer extending in a vertical direction of the semiconductor body from the first surface. A first trench extending from the first surface into the semiconductor body is formed by removing the sacrificial layer in a section adjacent the first surface. A second trench is formed by isotropically etching the semiconductor body in the first trench. A third trench is formed below the second trench by removing at least a part of the first sacrificial layer below the second trench. A dielectric layer is formed which at least covers sidewalls of the third trench and which only covers sidewalls of the second trench. A gate electrode is formed on the dielectric layer in the second trench. The gate electrode and dielectric layer in the second trench form the gate electrode structure.
    Type: Grant
    Filed: September 30, 2010
    Date of Patent: October 16, 2012
    Assignee: Infineon Technologies Austria AG
    Inventors: Hans Weber, Stefan Gamerith, Roman Knoefler, Kurt Sorschag, Anton Mauder
  • Patent number: 8288272
    Abstract: A semiconductor device includes a plurality of first interconnection layers which are provided in an insulating layer and formed in a pattern having a width and space smaller than a resolution limit of an exposure technique, and a second interconnection layer which is provided between the first interconnection layers in the insulating layer and has a width larger than that of a first interconnection layer. A space between the second interconnection layer and each of first interconnection layers adjacent to both sides of the second interconnection layer equals the space between the first interconnection layers.
    Type: Grant
    Filed: July 20, 2011
    Date of Patent: October 16, 2012
    Assignee: Kabushiki Kaisha Toshiba
    Inventors: Masato Endo, Tatsuya Kato
  • Patent number: 8283230
    Abstract: A fabrication method of a self-aligned power semiconductor structure is provided. Firstly, a trenched polysilicon gate is formed in a silicon substrate. Then, a self-aligned polysilicon extending structure is formed on the trenched polysilicon gate. A width of the self-aligned polysilicon extending structure is smaller than that of the trenched polysilicon gate. Thereafter, the self-aligned polysilicon extending structure is oxidized to form a silicon oxide protruding structure on the trenched polysilicon gate. Then, a first spacer is formed on a sidewall of the silicon oxide protruding structure to define a source contact window.
    Type: Grant
    Filed: June 10, 2010
    Date of Patent: October 9, 2012
    Inventor: Chun Ying Yeh
  • Patent number: 8283202
    Abstract: A method of fabricating a phase change memory element within a semiconductor structure includes etching an opening to an upper surface of a bottom electrode, the opening being formed of a height equal to a height of a metal region at a same layer within the semiconductor structure, depositing phase change material within the opening, recessing the phase change material within the opening, and forming a top electrode on the recessed phase change material.
    Type: Grant
    Filed: August 28, 2009
    Date of Patent: October 9, 2012
    Assignee: International Business Machines Corporation
    Inventors: Matthew J. Breitwisch, Chandrasekharan Kothandaraman, Chung H. Lam
  • Patent number: 8278220
    Abstract: A microscopic metallic structure is produced by creating or exposing a patterned region of increased conductivity and then forming a conductor on the region using electrodeposition. In some embodiments, a microscopic metallic structure is formed on a substrate, and then the substrate is etched to remove the structure from the substrate. In some embodiments, a focused beam of gallium ion without a deposition precursor gas scans a pattern on a silicon substrate, to produce a conductive pattern on which a copper structure is then formed by electrochemical deposition of one or more metals. The structure can be freed from the substrate by etching, or can used in place. A beam can be used to access an active layer of a transistor, and then a conductor can be electrodeposited to provide a lead for sensing or modifying the transistor operation while it is functioning.
    Type: Grant
    Filed: September 15, 2008
    Date of Patent: October 2, 2012
    Assignee: FEI Company
    Inventors: Theresa Holtermann, Anthony Graupera, Michael Dibattista
  • Patent number: 8278221
    Abstract: A method of forming hard mask employs a double patterning technique. A first hard mask layer is formed on a substrate, and a first sacrificial pattern is formed on the first hard mask layer by photolithography. Features of the first sacrificial pattern are spaced from one another by a first pitch. A second hard mask layer is then formed conformally on the first sacrificial pattern and the first hard mask layer so as to delimit recesses between adjacent features of the first sacrificial pattern. Upper portions of the second hard mask layer are removed to expose the first sacrificial pattern, and the exposed first sacrificial pattern and the second sacrificial pattern are removed. The second hard mask layer and the first hard mask layer are then etched to form a hard mask composed of residual portions of the first hard mask layer and the second hard mask layer.
    Type: Grant
    Filed: July 13, 2011
    Date of Patent: October 2, 2012
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Cha-won Koh, Han-ku Cho, Jeong-lim Nam, Gi-sung Yeo, Joon-soo Park, Ji-young Lee
  • Publication number: 20120241916
    Abstract: The present invention relates to a method for minimizing breakage of wafers during or after a wafer thinning process. A method of forming a rounded edge to the portion of a wafer remaining after surface grinding process is provided. The method comprises providing a semiconductor wafer having an edge and forming a recess in the edge of the wafer using any suitable mechanical or chemical process. The method further comprises forming a substantially continuous curved shape for at least the edge of the wafer located above the recess. Advantageously, the shape of the wafer is formed prior to the backside grind process to prevent problems caused by the otherwise presence of a sharp edge during the backside grind process.
    Type: Application
    Filed: March 22, 2011
    Publication date: September 27, 2012
    Applicant: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Timothy Harrison Daubenspeck, Jeffrey P. Gambino, Christopher David Muzzy, Wolfgang Sauter, Timothy Dooling Sullivan
  • Publication number: 20120241914
    Abstract: A method of reducing contamination of contact pads in a metallization system of a semiconductor device. Fluorine contamination of contact pads in a semiconductor device can be reduced by appropriately covering the sidewall portions of a metallization system in the scribe lane in order to significantly reduce or suppress the out diffusion of fluorine species, which may react with the exposed surface areas of the contact pads. The quality of the bond contacts is enhanced, possibly without requiring any modifications in terms of design rules and electrical specifications.
    Type: Application
    Filed: September 4, 2009
    Publication date: September 27, 2012
    Applicant: X-Fab Semiconductor Foundries AG
    Inventors: Hyung Sun Yook, Tsui Ping Chu, Poh Ching Sim
  • Publication number: 20120243095
    Abstract: The invention relates to a micromechanical unit, in particular, an adjustable optical filter, and also a method to manufacture the unit. The unit comprises a first device layer and a second substrate layer at least partially fastened to each other, where the device layer comprises a number of reflecting elements divided between a number of non movable, fixed reflecting elements, where the fixed elements are connected with the substrate, and where a cavity is defined between the substrate and each movable element and each movable element is set up to produce a spring-loaded movement into the cavity, and where a number of dielectric spacer blocks are placed in the cavities between each movable element and the substrate to avoid electric contact between them.
    Type: Application
    Filed: August 13, 2010
    Publication date: September 27, 2012
    Inventors: Hakon Sagberg, Ib-Rune Johansen, Sigurd Teodor Moe, Matthieu Lacolle, Thor Bakke, Dag Thorstein Wang, Elisabeth Larsen Rogne, Henrik Rogne
  • Publication number: 20120244709
    Abstract: Disclosed is a plasma etching method capable of carrying out an etching process while preventing an etching shape defect such as a bowing from occurring. The plasma etching method includes etching an organic film formed on the substrate to a middle depth using an inorganic film as a mask by generating plasma between an upper electrode a surface of which is formed with a silicon containing material and a lower electrode where a substrate to be processed is placed thereon in a processing chamber; forming a protective film including the silicon containing material of the upper electrode on a side wall of an etching region formed from the etching process by applying a negative DC voltage on the upper electrode while generating the plasma; and continuing the etching process using the plasma thereby etching the organic film to a predetermined depth.
    Type: Application
    Filed: March 23, 2012
    Publication date: September 27, 2012
    Applicant: TOKYO ELECTRON LIMITED
    Inventors: Yoshiki IGARASHI, Kazuki NARISHIGE