Formation Of Groove Or Trench Patents (Class 438/700)
  • Patent number: 8466068
    Abstract: The present invention provides systems, apparatus, and methods for forming three dimensional memory arrays using a multi-depth imprint lithography mask and a damascene process. An imprint lithography mask for manufacturing a memory layer in a three dimensional memory is described. The mask includes a translucent material formed with features for making an imprint in a transfer material to be used in a damascene process, the mask having a plurality of imprint depths. At least one imprint depth corresponds to trenches for forming memory lines and at least one depth corresponds to holes for forming vias. Numerous other aspects are disclosed.
    Type: Grant
    Filed: December 31, 2007
    Date of Patent: June 18, 2013
    Assignee: SanDisk 3D LLC
    Inventor: Roy E. Scheuerlein
  • Patent number: 8466066
    Abstract: A method for forming a micro-pattern in a semiconductor device includes forming a hard mask layer and a sacrificial layer over an etch target layer, forming a plurality of openings having a hole shape in the sacrificial layer, forming spacers over inner sidewalls of the openings to form first hole patterns inside the openings, etching the sacrificial layer outside of the sidewalls of the openings using the spacers in a manner that the sacrificial layer in a first area remains partially and the sacrificial layer in a second area is removed to form second hole patterns, wherein the first area is smaller than the second area, and etching the hard mask layer using the remaining sacrificial layer and the spacers including the first and second hole patterns.
    Type: Grant
    Filed: June 27, 2009
    Date of Patent: June 18, 2013
    Assignee: Hynix Semiconductor Inc.
    Inventor: Won-Kyu Kim
  • Patent number: 8460948
    Abstract: A method for manufacturing an ink jet recording head is employed which has a metal mask formation process for forming a metal mask having a predetermined shape containing a silicide film formed by silicidation of the surface of a flow path forming substrate wafer containing a silicon substrate and a liquid flow path formation process for forming a liquid flow path by anisotropically etching the flow path forming substrate wafer using the metal mask as a mask.
    Type: Grant
    Filed: April 3, 2012
    Date of Patent: June 11, 2013
    Assignee: Seiko Epson Corporation
    Inventor: Yasuyuki Matsumoto
  • Patent number: 8460963
    Abstract: A solar cell includes polysilicon P-type and N-type doped regions on a backside of a substrate, such as a silicon wafer. A trench structure separates the P-type doped region from the N-type doped region. Each of the P-type and N-type doped regions may be formed over a thin dielectric layer. The trench structure may include a textured surface for increased solar radiation collection. Among other advantages, the resulting structure increases efficiency by providing isolation between adjacent P-type and N-type doped regions, thereby preventing recombination in a space charge region where the doped regions would have touched.
    Type: Grant
    Filed: September 10, 2010
    Date of Patent: June 11, 2013
    Assignee: SunPower Corporation
    Inventor: David D. Smith
  • Patent number: 8461692
    Abstract: A method and apparatus for providing a conductive structure adjacent to a damascene conductive structure in a semiconductor device structure. The semiconductor device structure includes an insulation layer with at least one damascene conductive structure formed therein, wherein the at least one damascene conductive structure includes an insulative, protective layer disposed thereon. The insulative material of the protective layer is able to resist removal by at least some suitable etchants for the insulative material of the insulation layer adjacent to the at least one damascene conductive structure. A self-aligned opening is formed by removing a portion of an insulation layer adjacent the at least one damascene conductive structure. The self-aligned opening is then filled with a conductive material to thereby provide another conductive structure adjacent to the at least one damascene conductive structure.
    Type: Grant
    Filed: September 20, 2011
    Date of Patent: June 11, 2013
    Assignee: Micron Technology, Inc.
    Inventor: Howard E. Rhodes
  • Patent number: 8455307
    Abstract: FINFET ICs and methods for their fabrication are provided. In accordance with one embodiment a FINFET IC is fabricated by forming in a substrate a region doped with an impurity of a first doping type. The substrate region is etched to form a recess defining a fin having a height and sidewalls and the recess adjacent the fin is filled with an insulator having a thickness less than the height. Spacers are formed on the sidewalls and a portion of the insulator is etched to expose a portion of the sidewalls. The exposed portion of the sidewalls is doped with an impurity of the first doping type, the exposed sidewalls are oxidized, and the sidewall spacers are removed. A gate insulator and gate electrode are formed overlying the fin, and end portions of the fin are doped with an impurity of a second doping type to form source and drain regions.
    Type: Grant
    Filed: May 19, 2011
    Date of Patent: June 4, 2013
    Assignee: GLOBALFOUNDRIES, Inc.
    Inventor: Jin Cho
  • Patent number: 8455356
    Abstract: A microelectronic assembly and related method of forming a through hole extending through a first wafer and a second wafer are provided. The first and second wafer have confronting faces and metallic features at the faces which are joined together to assemble the first and second wafers. A hole can be etched downwardly through the first wafer until a gap is partially exposed between the confronting faces of the first and second wafers. The hole can have a first wall extending in a vertical direction, and a second wall sloping inwardly from the first wall to an inner opening through which the interfacial gap is exposed. Material of the first or second wafers exposed within the hole can then be sputtered such that at least some of the sputtered material deposits onto at least one of the exposed confronting faces of the first and second wafers and provides a wall between the confronting faces.
    Type: Grant
    Filed: January 21, 2010
    Date of Patent: June 4, 2013
    Assignee: International Business Machines Corporation
    Inventors: Richard P. Volant, Mukta G. Farooq, Kevin S. Petrarca
  • Patent number: 8455357
    Abstract: A method of plating via hole in a substrate includes providing a substrate having a first side and a second side and a plurality of through substrate via holes; depositing a first seed layer on the first side of the substrate; applying a foil on the first seed layer of the substrate closing the first ends of the plurality of via holes; electro-chemical plating of the second side of the substrate; and removing the foil.
    Type: Grant
    Filed: September 28, 2009
    Date of Patent: June 4, 2013
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Willem Frederik Adrianus Besling, Freddy Roozeboom, Yann Pierre Roger Lamy
  • Patent number: 8453312
    Abstract: A method for fabrication of single crystal silicon micromechanical resonators using a two-wafer process, including either a Silicon-on-insulator (SOI) or insulating base and resonator wafers, wherein resonator anchors, a capacitive air gap, isolation trenches, and alignment marks are micromachined in an active layer of the base wafer; the active layer of the resonator wafer is bonded directly to the active layer of the base wafer; the handle and dielectric layers of the resonator wafer are removed; viewing windows are opened in the active layer of the resonator wafer; masking the single crystal silicon semiconductor material active layer of the resonator wafer with photoresist material; a single crystal silicon resonator is machined in the active layer of the resonator wafer using silicon dry etch micromachining technology; and the photoresist material is subsequently dry stripped.
    Type: Grant
    Filed: June 30, 2008
    Date of Patent: June 4, 2013
    Assignee: Honeywell International Inc.
    Inventors: Ijaz H. Jafri, Jonathan L. Klein, Galen P. Magendanz
  • Patent number: 8455268
    Abstract: Methods of replacing/reforming a top oxide around a charge storage element of a memory cell and methods of improving quality of a top oxide around a charge storage element of a memory cell are provided. The method can involve removing a first poly over a first top oxide from the memory cell; removing the first top oxide from the memory cell; and forming a second top oxide around the charge storage element. The second top oxide can be formed by oxidizing a portion of the charge storage element or by forming a sacrificial layer over the charge storage element and oxidizing the sacrificial layer to a second top oxide.
    Type: Grant
    Filed: August 31, 2007
    Date of Patent: June 4, 2013
    Assignee: Spansion LLC
    Inventors: Chungho Lee, Hiroyuki Kinoshita, Kuo-Tung Chang, Rinji Sugino, Chi Chang, Huaqiang Wu
  • Publication number: 20130134561
    Abstract: The dominant source of thermal resistance for silicon photonic devices patterned on SOI wafers is the buried oxide layer. To ensure efficient thermally driven silicon devices there is a need for a large thermal resistance. This is in contrast to temperature sensitive components need to have low thermal resistance in order to reduce their temperature to ensure good performance. Embodiments comprise etching the back of an SOI wafer to expose the buried oxide layer and depositing an additional layer of silicon oxide to increase the local thermal resistance. Thus, embodiments provide the ability to tailor the thermal resistance across the wafer or die depending on the device being fabricated.
    Type: Application
    Filed: March 31, 2008
    Publication date: May 30, 2013
    Inventor: Richard Jones
  • Patent number: 8450212
    Abstract: A method including forming an organic polymer layer (OPL) on a substrate; forming a patterned photoresist layer having a first opening and a second opening over the OPL, the second opening wider than the first opening; performing a first reactive ion etch (RIE) to form a first trench and a second trench in the organic layer, the second trench wider than the first trench, the first trench extending into but not through the organic polymer layer, the second trench extending through the OPL to the substrate, the first RIE forming a first polymer layer on sidewalls of the first trench and a second polymer layer on sidewalls of the second trench, the second polymer layer thicker than the first polymer layer; and performing a second RIE to extend the first trench through the OPL to the substrate, the second RIE removing the second polymer layer from sidewalls of the second trench.
    Type: Grant
    Filed: June 28, 2011
    Date of Patent: May 28, 2013
    Assignee: International Business Machines Corporation
    Inventors: Matthew S. Angyal, Oluwafemi O. Ogunsola, Hakeem B. Akinmade-Yusuff
  • Patent number: 8450214
    Abstract: A single crystal silicon etching method includes providing a single crystal silicon substrate having at least one trench therein. The substrate is exposed to a buffered fluoride etch solution which undercuts the silicon to provide lateral shelves when patterned in the <100> direction. The resulting structure includes an undercut feature when patterned in the <100> direction.
    Type: Grant
    Filed: August 30, 2012
    Date of Patent: May 28, 2013
    Assignee: Micron Technology, Inc.
    Inventors: Whonchee Lee, Janos Fucsko, David H. Wells
  • Patent number: 8450134
    Abstract: A solar cell includes polysilicon P-type and N-type doped regions on a backside of a substrate, such as a silicon wafer. An interrupted trench structure separates the P-type doped region from the N-type doped region in some locations but allows the P-type doped region and the N-type doped region to touch in other locations. Each of the P-type and N-type doped regions may be formed over a thin dielectric layer. Among other advantages, the resulting solar cell structure allows for increased efficiency while having a relatively low reverse breakdown voltage.
    Type: Grant
    Filed: November 12, 2010
    Date of Patent: May 28, 2013
    Assignee: SunPower Corporation
    Inventors: Denis De Ceuster, Peter John Cousins, David D. Smith
  • Patent number: 8450213
    Abstract: Processes for making a membrane having a curved feature are disclosed. Recesses each in the shape of a reversed, truncated pyramid are formed in a planar substrate surface by KOH etching through a mask. An oxide layer is formed over the substrate surface. The oxide layer can be stripped leaving rounded corners between different facets of the recesses in the substrate surface, and the substrate surface can be used as a profile-transferring substrate surface for making a membrane having concave curved features. Alternatively, a handle layer is attached to the oxide layer and the substrate is removed until the backside of the oxide layer becomes exposed. The exposed backside of the oxide layer includes curved portions protruding away from the handle layer, and can provide a profile-transferring substrate surface for making a membrane having convex curved features.
    Type: Grant
    Filed: April 13, 2011
    Date of Patent: May 28, 2013
    Assignee: FUJIFILM Corporation
    Inventors: Gregory De Brabander, Mark Nepomnishy
  • Patent number: 8445387
    Abstract: Memory cell structures, including PSOIs, NANDs, NORs, FinFETs, etc., and methods of fabrication have been described that include a method of epitaxial silicon growth. The method includes providing a silicon layer on a substrate. A dielectric layer is provided on the silicon layer. A trench is formed in the dielectric layer to expose the silicon layer, the trench having trench walls in the <100> direction. The method includes epitaxially growing silicon between trench walls formed in the dielectric layer.
    Type: Grant
    Filed: March 15, 2011
    Date of Patent: May 21, 2013
    Assignee: Micron Technology, Inc.
    Inventors: David H. Wells, Du Li
  • Publication number: 20130122702
    Abstract: A microelectronic assembly and related method of forming a through hole extending through a first chip and a second chip are provided. The first and second chip have confronting faces, metallic features join the first and second chips leaving a gap chips . A first etch creates a hole through the first chip. The hole has a first wall extending in a vertical direction, and a second wall sloping inwardly from the first wall to an inner opening to expose the gap. Material of the first or second chips exposed within the hole is sputtered to form a wall in the gap. A second etch extends the hole into the second chip. An electrically conductive through silicon via can then be formed extending through the first chip, the wall between the chips and into the second chip.
    Type: Application
    Filed: July 23, 2012
    Publication date: May 16, 2013
    Applicant: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Richard P. Volant, Mukta G. Farooq, Kevin S. Petrarca
  • Patent number: 8440570
    Abstract: The invention defines a pillar pattern or an island pattern by forming a contact hole and filling the contact hole with a hard mask material by using a spacer formation process, so that the mask pattern formation process margin for island (e.g., pillar) pattern formation is increased. Accordingly, the yield and reliability of the formation process of a semiconductor device are improved.
    Type: Grant
    Filed: May 7, 2008
    Date of Patent: May 14, 2013
    Assignee: Hynix Semiconductor Inc.
    Inventor: Cheol Kyun Kim
  • Publication number: 20130112996
    Abstract: There are provided a high-quality semiconductor device having stable characteristics and a method for manufacturing such a semiconductor device. The semiconductor device includes a substrate having a main surface, and a silicon carbide layer. The silicon carbide layer is formed on the main surface of the substrate. The silicon carbide layer includes a side surface as an end surface inclined relative to the main surface. The side surface substantially includes one of a {03-3-8} plane and a {01-1-4} plane in a case where the silicon carbide layer is of hexagonal crystal type, and substantially includes a {100} plane in a case where the silicon carbide layer is of cubic crystal type.
    Type: Application
    Filed: July 14, 2011
    Publication date: May 9, 2013
    Applicant: Sumitomo Electric Industries, Ltd.
    Inventor: Takeyoshi Masuda
  • Patent number: 8435884
    Abstract: A method for forming an interconnect structure includes forming a mandrel above a base layer, forming spacers on the mandrel, forming recesses in the base layer using the spacers as an etch template, and forming a conductive material in the recesses.
    Type: Grant
    Filed: September 7, 2010
    Date of Patent: May 7, 2013
    Assignee: GLOBALFOUNDRIES Inc.
    Inventors: Ryoung-Han Kim, Matthew E. Colburn
  • Publication number: 20130109185
    Abstract: A method of fabricating a miniaturized semiconductor or other such device takes advantage of a self-reorganization characteristic of an in-situ dissociable diblock copolymer to form a circular via hole that is centrally disposed relative to other device features. In one embodiment, the method is used to form a dual damascene structure. During formation of the dual damascene structure, due to the self-reorganization characteristics of the monomer constituents of the diblock copolymer, the position of the via hole can be ensured to be self aligned with the position of the trench, thus improving the performance and yield of the so formed semiconductor devices, and lowering fabrication costs.
    Type: Application
    Filed: October 25, 2012
    Publication date: May 2, 2013
    Applicants: Semiconductor Manufacturing International Corporation, Semiconductor Manufacturing International Corporation
    Inventors: Semiconductor Manufacturing International Corpor, Semiconductor Manufacturing International Corpor
  • Patent number: 8431491
    Abstract: A method for protecting a chuck membrane in a reactive ion etcher during plasma processing is described. The method utilizes a photoresist as a protective layer. Suitable photoresists can be used in this invention to not only image a semiconductor substrate to protect areas where vias and/or cavities are not desired during plasma processing but also to protect the chuck membrane(s) of the reactive ion etcher from being damaged and/or contaminated during plasma processing. Both negative-working and positive-working photoresists can be used.
    Type: Grant
    Filed: October 30, 2009
    Date of Patent: April 30, 2013
    Assignee: E. I. du Pont de Nemours and Company
    Inventors: Chester E. Balut, Frank Leonard Schadt, III, Stephen E. Vargo
  • Patent number: 8426313
    Abstract: Methods for fabricating sublithographic, nanoscale microstructures utilizing self-assembling block copolymers, and films and devices formed from these methods are provided.
    Type: Grant
    Filed: March 21, 2008
    Date of Patent: April 23, 2013
    Assignee: Micron Technology, Inc.
    Inventors: Dan B. Millward, Timothy Quick
  • Patent number: 8426314
    Abstract: A method for forming a semiconductor device is disclosed.
    Type: Grant
    Filed: July 27, 2011
    Date of Patent: April 23, 2013
    Assignee: SK Hynix Inc.
    Inventor: Kyung Ae Kim
  • Patent number: 8426312
    Abstract: By providing an etch stop layer selectively at the bevel, at least one additional wet chemical bevel etch process may be performed prior to or during the formation of a metallization layer without affecting the substrate material. Hence, the dielectric material, especially the low-k dielectric material, may be reliably removed from the bevel prior to the formation of any barrier and metal layers. The etch stop layer may be formed at an early manufacturing stage so that a bevel etch process may be performed at any desired stage of the formation of circuit elements.
    Type: Grant
    Filed: September 14, 2006
    Date of Patent: April 23, 2013
    Assignee: Globalfoundries Inc.
    Inventors: Ralf Richter, Tobias Letz, Holger Schuehrer
  • Patent number: 8426287
    Abstract: A method of manufacturing a semiconductor device includes the steps of forming a gate electrode of a transistor on an insulator layer on a surface of a semiconductor substrate, forming an isolation region by performing ion implantation of an impurity of a first conductivity type into the semiconductor substrate, forming a lightly doped drain region by performing, after forming a mask pattern including an opening portion narrower than a width of the gate electrode on an upper layer of the gate electrode of the transistor, ion implantation of an impurity of a second conductivity type near the surface of the semiconductor substrate with the mask pattern as a mask, and forming a source region and a drain region of the transistor by performing ion implantation of an impurity of the second conductivity type into the semiconductor substrate after forming the gate electrode of the transistor.
    Type: Grant
    Filed: November 12, 2010
    Date of Patent: April 23, 2013
    Assignee: Sony Corporation
    Inventor: Masashi Yanagita
  • Patent number: 8425789
    Abstract: In anisotropic etching of the substrates, ultra-thin and conformable layers of materials can be used to passivate sidewalls of the etched features. Such a sidewall passivation layer may be a Self-assembled monolayer (SAM) material deposited in-situ etching process from a vapor phase. Alternatively, the sidewall passivation layer may be an inorganic-based material deposited using Atomic Layer Deposition (ALD) method. SAM or ALD s layer deposition can be carried out in a pulsing regime alternating with sputtering and/or etching processes using process gasses with or without plasma. Alternatively, SAM deposition is carried out continuously, while etch or sputtering turns on in a pulsing regime. Alternatively, SAM deposition and etch or sputtering may be carried out continuously.
    Type: Grant
    Filed: October 1, 2009
    Date of Patent: April 23, 2013
    Assignee: Rolith, Inc.
    Inventor: Boris Kobrin
  • Patent number: 8420484
    Abstract: A semiconductor device having a buried gate that can realize a reduction in gate-induced drain leakage is presented. The semiconductor device includes a semiconductor substrate, a buried gate, and a barrier layer. The semiconductor substrate has a groove. The buried gate is formed in a lower portion of the groove and has a lower portion wider than an upper portion. The barrier layer is formed on sidewalls of the upper portion of the buried gate.
    Type: Grant
    Filed: July 18, 2011
    Date of Patent: April 16, 2013
    Assignee: Hynix Semiconductor Inc.
    Inventor: Min Soo Yoo
  • Patent number: 8420532
    Abstract: The present invention relates to a method of fabricating a semiconductor device. According to the method, a first insulating layer having a contact hole formed therein is formed over a semiconductor substrate. A second insulating layer is gap filled within the contact hole. A third insulating layer having a trench formed therein is formed over the semiconductor substrate including the contact hole. The second insulating layer gap filled within the contact hole is removed. A contact plug and a bit line are formed within the contact hole and the trench.
    Type: Grant
    Filed: December 21, 2007
    Date of Patent: April 16, 2013
    Assignee: Hynix Semiconductor Inc.
    Inventor: Sung Hoon Lee
  • Patent number: 8415224
    Abstract: A method of fabricating a semiconductor device and a semiconductor device are provided. The method includes method of fabricating a semiconductor device including providing a semiconductor substrate having a first semiconductor device region and a second semiconductor device region defined therein, forming a first gate structure in the first semiconductor device region, forming a second gate structure in the second semiconductor device region, forming a first trench adjacent to a first side of the first gate structure, forming a second trench adjacent to a first side of the second gate structure, and forming a first semiconductor pattern in the first trench and forming a second semiconductor pattern in the second trench, wherein the first and second trenches have different cross-sectional shapes from each other.
    Type: Grant
    Filed: July 15, 2011
    Date of Patent: April 9, 2013
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Sung-Woo Hyun, Yu-Gyun Shin, Sun-Ghil Lee, Hong-Sik Yoon
  • Patent number: 8409449
    Abstract: Methods for fabricating sub-lithographic, nanoscale linear microchannel arrays over surfaces without defined features utilizing self-assembling block copolymers, and films and devices formed from these methods are provided. Embodiments of the methods use a multi-layer induced ordering approach to align lamellar films to an underlying base film within trenches, and localized heating to anneal the lamellar-phase block copolymer film overlying the trenches and outwardly over the remaining surface.
    Type: Grant
    Filed: December 27, 2011
    Date of Patent: April 2, 2013
    Assignee: Micron Technology, Inc.
    Inventors: Dan B. Millward, Eugene P. Marsh
  • Patent number: 8404124
    Abstract: Methods for fabricating sublithographic, nanoscale microstructures arrays including openings and linear microchannels utilizing self-assembling block copolymers, and films and devices formed from these methods are provided. In some embodiments, the films can be used as a template or mask to etch openings in an underlying material layer.
    Type: Grant
    Filed: June 12, 2007
    Date of Patent: March 26, 2013
    Assignee: Micron Technology, Inc.
    Inventors: Dan B. Millward, Donald Westmoreland, Gurtej Sandhu
  • Patent number: 8404580
    Abstract: In a method for fabricating a semiconductor device, a semiconductor device is provided including an interlayer dielectric film and first and second hard mask patterns sequentially stacked thereon. A first trench is provided in the interlayer dielectric film through the second hard mask pattern and the first hard mask pattern. A filler material is provided on the interlayer dielectric film and the first and second hard mask patterns to fill the first trench. First and second hard mask trimming patterns are formed by trimming sidewalls of the first and second hard mask patterns and removing the filler material to expose the first trench. A damascene wire is formed by filling the first trench with a conductive material.
    Type: Grant
    Filed: April 11, 2012
    Date of Patent: March 26, 2013
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Sang-Jine Park, Bo-Un Yoon, Jeong-Nam Han, Yoon-Hae Kim, Doo-Sung Yun
  • Patent number: 8399325
    Abstract: A method for producing a semiconductor device with an electrode structure includes providing a semiconductor body with a first surface, and with a first sacrificial layer extending in a vertical direction of the semiconductor body from the first surface, and forming a first trench extending from the first surface into the semiconductor body. The first trench is formed at least by removing the sacrificial layer in a section adjacent to the first surface. The method further includes forming a second trench by isotropically etching the semiconductor body in the first trench, forming a dielectric layer which covers sidewalls of the second trench, and forming an electrode on the dielectric layer in the second trench, the electrode and the dielectric layer in the second trench forming the electrode structure.
    Type: Grant
    Filed: September 22, 2011
    Date of Patent: March 19, 2013
    Assignee: Infineon Technologies Austria AG
    Inventors: Hans Weber, Stefan Gamerith, Roman Knoefler, Kurt Sorschag, Anton Mauder
  • Patent number: 8399352
    Abstract: When forming metal lines of the metal zero level, a reduced bottom width and an increased top width may be achieved by using appropriate patterning regimes, for instance using a spacer structure after forming an upper trench portion with a top width, or forming the lower portion of the trenches and subsequently applying a further mask and etch regime in which the top width is implemented. In this manner, metal lines connecting to self-aligned contact bars may be provided so as to exhibit a bottom width of 20 nm and less, while the top width may allow reliable contact to any vias of the metallization system.
    Type: Grant
    Filed: December 20, 2011
    Date of Patent: March 19, 2013
    Assignee: GLOBALFOUNDRIES Inc.
    Inventors: Thomas Werner, Peter Baars, Frank Feustel
  • Patent number: 8394689
    Abstract: A semiconductor memory device includes a first active region, a second active region, a first element isolating region and a second element isolating region. The first active region is formed in a semiconductor substrate. The second active region is formed in the semiconductor substrate. The first element isolating region electrically separates the first active regions adjacent to each other. The second element isolating region electrically separates the second active regions adjacent to each other. An impurity concentration in a part of the second active region in contact with a side face of the second element isolating region is higher than that in the central part of the second active region, and a impurity concentration in a part of the first active region in contact with a side face of the first element isolating region is equal to that in the first active region.
    Type: Grant
    Filed: March 22, 2012
    Date of Patent: March 12, 2013
    Assignee: Kabushiki Kaisha Toshiba
    Inventors: Yoshiko Kato, Mitsuhiro Noguchi
  • Patent number: 8389399
    Abstract: A method of forming a memory cell is provided, the method including forming a first pillar-shaped element comprising a first semiconductor material, forming a first mold comprising an opening self-aligned with the first pillar-shaped element, and depositing a second semiconductor material in the opening to form a second pillar-shaped element above the first pillar-shaped element. Other aspects are also provided.
    Type: Grant
    Filed: November 2, 2009
    Date of Patent: March 5, 2013
    Assignee: SanDisk 3D LLC
    Inventors: Kang-Jay Hsia, Calvin Li, Christopher Petti
  • Publication number: 20130052785
    Abstract: To reduce dent defects formed in interlayer CMP process on a capacitor array after forming an interlayer insulating film on the capacitor array thicker than the height of a capacitor, the interlayer insulating film on the capacitor array is subjected to a step height reduction etching to form an opening having open end shape in which open end length is elongated compared with an opening having linear open end shape.
    Type: Application
    Filed: October 28, 2011
    Publication date: February 28, 2013
    Applicant: ELPIDA MEMORY, INC.
    Inventors: Tatsuya MASHIKO, Shigeru SUGIOKA, Nobuyuki SAKO, Ryoichi TANABE
  • Publication number: 20130052830
    Abstract: Provided is a plasma reactor having a dual inductively coupled plasma source that includes a plasma reactor body having a substrate processing area and a dielectric window which comes in contact with the substrate processing area; and a plasma source including a first antenna for providing first induced electromotive force for generating plasma onto a central area of the substrate processing area through the dielectric window and a second antenna for providing second induced electromotive force for generating the plasma onto an outer area of the substrate processing area, wherein a TSV is formed at a target substrate within the substrate processing area by repeatedly performing a deposition process and an etch process using the plasma generated through the dual inductively coupled plasma source.
    Type: Application
    Filed: December 27, 2011
    Publication date: February 28, 2013
    Inventors: Gyoo-Dong KIM, Dae-Kyu Choi
  • Patent number: 8383518
    Abstract: A method for forming contact holes is applied in a transistor array substrate. The transistor array substrate includes first contact pads, second contact pads located over the first contact pads, a first insulation layer covering the first contact pads, and a second insulation layer covering the second contact pads. Firstly, a photoresist pattern layer having recesses and first openings is formed on the second insulation layer. The first openings expose the second insulation layer partially. Then, the first insulation layer and the second insulation layer inside the first openings are removed partially, to expose the first contact pads. Then, the thickness of the photoresist pattern layer is reduced, so that the recesses form a plurality of second openings which expose the second insulation layer partially. After that, a part of the second insulation layer which is located inside the second openings is removed, to expose the second contact pads.
    Type: Grant
    Filed: December 14, 2011
    Date of Patent: February 26, 2013
    Assignee: Chunghwa Picture Tubes, Ltd.
    Inventors: Wen-Cheng Lu, Yang-Yu Yao
  • Patent number: 8383517
    Abstract: A substrate processing method that can selectively remove deposit produced through dry etching of silicon. A substrate has a silicon base material and a hard mask that is made of a silicon nitride film and/or a silicon oxide film and formed on the silicon base material, the hard mask having an opening to which at least part of the silicon base material is exposed. A trench corresponding to the opening is formed in the silicon base material through dry etching using plasma produced from halogenated gas. After the dry etching, the substrate is heated to a temperature of not less than 200° C., and then hydrogen fluoride gas and helium gas are supplied toward the substrate.
    Type: Grant
    Filed: January 31, 2008
    Date of Patent: February 26, 2013
    Assignee: Tokyo Electron Limited
    Inventors: Eiichi Nishimura, Chie Kato, Akitaka Shimizu, Hiroyuki Takahashi
  • Publication number: 20130045602
    Abstract: A method for forming contact holes is applied in a transistor array substrate. The transistor array substrate includes first contact pads, second contact pads located over the first contact pads, a first insulation layer covering the first contact pads, and a second insulation layer covering the second contact pads. Firstly, a photoresist pattern layer having recesses and first openings is formed on the second insulation layer. The first openings expose the second insulation layer partially. Then, the first insulation layer and the second insulation layer inside the first openings are removed partially, to expose the first contact pads. Then, the thickness of the photoresist pattern layer is reduced, so that the recesses form a plurality of second openings which expose the second insulation layer partially. After that, a part of the second insulation layer which is located inside the second openings is removed, to expose the second contact pads.
    Type: Application
    Filed: December 14, 2011
    Publication date: February 21, 2013
    Applicant: CHUNGHWA PICTURE TUBES, LTD.
    Inventors: Wen-Cheng Lu, Yang-Yu Yao
  • Publication number: 20130045591
    Abstract: A method of semiconductor processing includes coating a top surface of a substrate having a semiconductor surface with a positive photoresist layer. The positive photoresist layer is exposed using a reticle or a mask that defines a pattern. The positive photoresist layer is doped by introducing at least one material modifying species after exposing. The positive photoresist layer is developed with a negative tone developer to form a patterned positive photoresist layer which provides masked portions of the top surface and unmasked portions of the top surface. A selective process is then performed to the unmasked portions of the top surface.
    Type: Application
    Filed: August 15, 2012
    Publication date: February 21, 2013
    Applicant: TEXAS INSTRUMENTS INCORPORATED
    Inventors: JUDY BROWDER SHAW, SCOTT WILLIAM JESSEN
  • Publication number: 20130043486
    Abstract: Systems and methods for preparing freestanding films using laser-assisted chemical etch (LACE), and freestanding films formed using same, are provided. In accordance with one aspect a substrate has a surface and a portion defining an isotropically defined cavity; and a substantially continuous film is disposed at the substrate surface and spans the isotropically defined cavity. In accordance with another aspect, a substrate has a surface and a portion defining an isotropically defined cavity; and a film is disposed at the substrate surface and spans the isotropically defined cavity, the film including at least one of hafnium oxide (HfO2), diamond-like carbon, graphene, and silicon carbide (SiC) of a predetermined phase. In accordance with still another aspect, a substrate has a surface and a portion defining an isotropically defined cavity; and a multi-layer film is disposed at the substrate surface and spans the isotropically defined cavity.
    Type: Application
    Filed: October 18, 2012
    Publication date: February 21, 2013
    Applicant: THE AEROSPACE CORPORATION
    Inventors: Margaret H. Abraham, David P. Taylor
  • Patent number: 8372295
    Abstract: Methods for fabricating sublithographic, nanoscale arrays of openings and linear microchannels utilizing self-assembling block copolymers, and films and devices formed from these methods are provided. Embodiments of the invention use a self-templating or multilayer approach to induce ordering of a self-assembling block copolymer film to an underlying base film to produce a multilayered film having an ordered array of nanostructures that can be removed to provide openings in the film which, in some embodiments, can be used as a template or mask to etch openings in an underlying material layer.
    Type: Grant
    Filed: April 20, 2007
    Date of Patent: February 12, 2013
    Assignee: Micron Technology, Inc.
    Inventor: Dan B. Millward
  • Patent number: 8372757
    Abstract: Exposed copper regions on a semiconductor substrate can be etched by a wet etching solution comprising (i) one or more complexing agents selected from the group consisting of bidentate, tridentate, and quadridentate complexing agents; and (ii) an oxidizer, at a pH of between about 5 and 12. In many embodiments, the etching is substantially isotropic and occurs without visible formation of insoluble species on the surface of copper. The etching is useful in a number of processes in semiconductor fabrication, including for partial or complete removal of copper overburden, for planarization of copper surfaces, and for forming recesses in copper-filled damascene features. Examples of suitable etching solutions include solutions comprising a diamine (e.g., ethylenediamine) and/or a triamine (e.g., diethylenetriamine) as bidentate and tridentate complexing agents respectively and hydrogen peroxide as an oxidizer.
    Type: Grant
    Filed: August 4, 2009
    Date of Patent: February 12, 2013
    Assignee: Novellus Systems, Inc.
    Inventors: Steven T. Mayer, Eric Webb, David W. Porter
  • Publication number: 20130034963
    Abstract: Methods of forming fine patterns for a semiconductor device include forming a hard mask layer on an etch target layer; forming a carbon containing layer on the hard mask layer; forming carbon containing layer patterns by etching the carbon containing layer; forming spacers covering opposing side walls of each of the carbon containing layer patterns; removing the carbon containing layer patterns; forming hard mask patterns by etching the hard mask layer using the spacers as a first etching mask; and etching the etch target layer by using the hard mask patterns a second etching mask.
    Type: Application
    Filed: May 18, 2012
    Publication date: February 7, 2013
    Inventors: Byung-hong Chung, Cha-young Yoo, Dong-hyun Kim
  • Patent number: 8367530
    Abstract: A substrate processing apparatus, including: a reaction container in which a substrate is processed; a seal cap, brought into contact with one end in an opening side of the reaction container via a first sealing member and a second sealing member so as to seal the opening of the reaction container air-tightly; a first gas channel, formed in a region between the first sealing member and the second sealing member in a state where the seal cap is in contact with the reaction container; a second gas channel, provided to the seal cap and through which the first gas channel is in communication with an inside of the reaction container; a first gas supply port that is provided to the reaction container and supplies a first gas to the first gas channel; and a second gas supply port that is provided to the reaction container and supplies a second gas into the reaction container, wherein a front end opening of the first gas supply port opening to the first gas channel, and a base opening of the second gas channel openin
    Type: Grant
    Filed: March 26, 2010
    Date of Patent: February 5, 2013
    Assignee: Hitachi Kokusai Electric Inc.
    Inventors: Kiyohiko Maeda, Takeo Hanashima, Masanao Osanai
  • Patent number: 8361865
    Abstract: A method of manufacturing a semiconductor device, includes forming a first trench and a second trench in a semiconductor region of a first conductivity type simultaneously, forming a gate insulating film and a gate electrode in the first trench, forming a channel region of a second conductivity type in the semiconductor region, forming a source region of the first conductivity type in the channel region, forming a diffusion region of the first conductivity type which has a higher concentration than that of the semiconductor region in a part of the semiconductor region located immediately under the second trench by implanting impurity ions of the first conductivity type through the second trench, and forming a drain electrode in a part of the second trench.
    Type: Grant
    Filed: December 3, 2010
    Date of Patent: January 29, 2013
    Assignee: Renesas Electronics Corporation
    Inventor: Kenya Kobayashi
  • Patent number: RE44236
    Abstract: A method for manufacturing a semiconductor device includes the steps of: forming a trench in a semiconductor substrate; and forming an epitaxial film on the substrate including a sidewall and a bottom of the trench so that the epitaxial film is filled in the trench. The step of forming the epitaxial film includes a final step before the trench is filled with the epitaxial film. The final step has a forming condition of the epitaxial film in such a manner that the epitaxial film to be formed on the sidewall of the trench has a growth rate at an opening of the trench smaller than a growth rate at a position of the trench, which is deeper than the opening of the trench.
    Type: Grant
    Filed: October 12, 2011
    Date of Patent: May 21, 2013
    Assignees: DENSO CORPORATION, Sumco Corporation
    Inventors: Shoichi Yamauchi, Hitoshi Yamaguchi, Tomoatsu Makino, Syouji Nogami, Tomonori Yamaoka