Electrically Conductive Material (e.g., Metal, Conductive Oxide, Etc.) Patents (Class 438/742)
  • Patent number: 11193203
    Abstract: The present disclosure relates to a mask for manufacturing a display. A mask for display according to the embodiment of the present disclosure comprises an aperture corresponding to a display area, a dummy aperture near the aperture, a rib surrounding circumferences of the aperture and the dummy aperture, and a sub rib between the aperture and the dummy aperture.
    Type: Grant
    Filed: December 26, 2019
    Date of Patent: December 7, 2021
    Assignee: LG Display Co., Ltd.
    Inventors: JaeWon Shin, YunMi Lee, Yunbae Kim, DaeSeon Seo
  • Patent number: 9825143
    Abstract: A method for forming a stacked semiconductor nanowire field effect transistor (FET) having reduced parasitic capacitance is provided. The parasitic capacitance of the stacked semiconductor nanowire FET including vertically stacked and vertically spaced apart semiconductor nanowires can be reduced by forming a tunnel spacer laterally surrounding a gate structure located beneath each of the vertically stacked and vertically spaced apart semiconductor nanowires.
    Type: Grant
    Filed: January 9, 2017
    Date of Patent: November 21, 2017
    Assignee: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventor: Effendi Leobandung
  • Patent number: 9606378
    Abstract: The invention relates to a display screen and its manufacturing process. The display screen of the invention comprises: a substrate made of a plastic; at least one transparent heating element; and at least one thermochromic compound, and is characterized in that the at least one transparent heating element comprises at least one optionally functionalized metal nanowire. The invention in particular has applications in the electronics industry.
    Type: Grant
    Filed: February 14, 2013
    Date of Patent: March 28, 2017
    Assignee: COMMISSARIAT A L'ENERGIE ATOMIQUE ET AUX ENERGIES ALTERNATIVES
    Inventors: Jean-Pierre Simonato, Alexandre Carella, Caroline Celle
  • Patent number: 9006105
    Abstract: A method of patterning a platinum layer includes the following steps. A substrate is provided. A platinum layer is formed on the substrate. An etching process is performed to pattern the platinum layer, wherein an etchant used in the etching process simultaneously includes at least a chloride-containing gas and at least a fluoride-containing gas.
    Type: Grant
    Filed: July 30, 2013
    Date of Patent: April 14, 2015
    Assignee: United Microelectronics Corp.
    Inventors: Hsin-Yi Lu, Yu-Chi Lin, Jeng-Ho Wang
  • Patent number: 8980745
    Abstract: A semiconductor device, an interconnect structure, and methods of forming the same are disclosed. An embodiment is a method of forming a semiconductor device, the method including forming a first dielectric layer over a substrate, forming a first conductive layer in the first dielectric layer, and removing a first portion of the first conductive layer to form at least two conductive lines in the first dielectric layer, the at least two conductive lines being separated by a first spacing. The method further includes forming a capping layer on the at least two conductive lines, and forming an etch stop layer on the capping layer and the first dielectric layer.
    Type: Grant
    Filed: September 5, 2013
    Date of Patent: March 17, 2015
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Szu-Ping Tung, Huang-Yi Huang, Wen-Jiun Liu, Ching-Hua Hsieh, Minghsing Tsai
  • Patent number: 8900988
    Abstract: Devices and methods for forming a self-aligned airgap interconnect structure includes etching a conductive layer to a substrate to form conductive structures with patterned gaps and filling the gaps with a sacrificial material. The sacrificial material is planarized to expose a top surface of the conductive layer. A permeable cap layer is deposited over the conductive structure and the sacrificial material. Self-aligned airgaps are formed by removing the sacrificial material through the permeable layer.
    Type: Grant
    Filed: April 15, 2011
    Date of Patent: December 2, 2014
    Assignee: International Business Machines Corporation
    Inventors: Qinghuang Lin, Benjamin L. Fletcher, Cyril Cabral, Jr.
  • Patent number: 8871530
    Abstract: A mechanism is provided for a spin torque transfer random access memory device. A tunnel barrier is disposed on a reference layer, and a free layer is disposed on the tunnel barrier. The free layer includes an iron layer as a top part of the free layer. A metal oxide layer is disposed on the iron layer, and a cap layer is disposed on the metal oxide layer.
    Type: Grant
    Filed: July 2, 2013
    Date of Patent: October 28, 2014
    Assignee: International Business Machines Corporation
    Inventor: Guohan Hu
  • Patent number: 8846542
    Abstract: The invention includes methods for selectively etching insulative material supports relative to conductive material. The invention can include methods for selectively etching silicon nitride relative to metal nitride. The metal nitride can be in the form of containers over a semiconductor substrate, with such containers having upwardly-extending openings with lateral widths of less than or equal to about 4000 angstroms; and the silicon nitride can be in the form of a layer extending between the containers. The selective etching can comprise exposure of at least some of the silicon nitride and the containers to Cl2 to remove the exposed silicon nitride, while not removing at least the majority of the metal nitride from the containers. In subsequent processing, the containers can be incorporated into capacitors.
    Type: Grant
    Filed: February 13, 2014
    Date of Patent: September 30, 2014
    Assignee: Micron Technology, Inc.
    Inventors: Kevin R. Shea, Thomas M. Graettinger
  • Publication number: 20140199787
    Abstract: Provided is a method for creating a mask blank that includes a stop layer. The stop layer is optically compatible and process compatible with other layers included as part of the mask blanks. Such blanks may include EUV, phase-shifting, or OMOG masks. The stop layer includes molybdenum, silicon, and nitride in a proportion that allows for compatibility and aids in detection by a residual gas analyzer. Provided is also a method for the patterning of mask blanks with a stop layer, particularly the method for removing semi-transparent residue defects that may occur due to problems in prior mask creation steps. The method involves the detection of included materials with a residual gas analyzer. Provided is also a mask blank structure which incorporates the compatible stop layer.
    Type: Application
    Filed: March 26, 2014
    Publication date: July 17, 2014
    Applicant: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Chih-Chiang Tu, Chun-Lang Chen, Boming Hsu, Tran-Hui Shen
  • Patent number: 8778204
    Abstract: A method and apparatus for monitoring a target layer in a plasma process having a photoresist layer is provided. The method is useful in removing noise associated with the photoresist layer, and is particularly useful when signals associated with the target layer is weak, such as when detecting an endpoint for a photomask etching process.
    Type: Grant
    Filed: October 26, 2011
    Date of Patent: July 15, 2014
    Assignee: Applied Materials, Inc.
    Inventor: Michael N. Grimbergen
  • Patent number: 8778198
    Abstract: A method for manufacturing a magnetic sensor using an electrical lapping guide deposited and patterned simultaneously with a hard bias structure of the sensor material. The method includes depositing a sensor material, and patterning and ion milling the sensor material to define a track width of the sensor. A magnetic, hard bias material is then deposited and a second patterning and ion milling process is performed to simultaneously define the back edge of an electrical lapping guide and a back edge of the sensor.
    Type: Grant
    Filed: June 29, 2011
    Date of Patent: July 15, 2014
    Assignee: HGST Netherlands B.V.
    Inventors: Quang Le, Shin Funada, Jui-Lung Li
  • Patent number: 8728945
    Abstract: A method of uniformly shrinking hole and space geometries by forming sidewalls of an ALD film deposited at low temperature on a photolithographic pattern.
    Type: Grant
    Filed: November 3, 2011
    Date of Patent: May 20, 2014
    Assignee: Texas Instruments Incorporated
    Inventor: Steven Alan Lytle
  • Patent number: 8691700
    Abstract: A method of etching a substrate is described. In one embodiment, the method includes preparing a mask layer having a pattern formed therein on or above at least a portion of a substrate, etching a feature pattern into the substrate from the pattern in the mask layer using a gas cluster ion beam (GCIB), and controlling a sidewall profile of the feature pattern etched into the substrate by adjusting a beam divergence of the GCIB.
    Type: Grant
    Filed: September 1, 2011
    Date of Patent: April 8, 2014
    Assignee: TEL Epion Inc.
    Inventors: John J. Hautala, Michael Graf
  • Patent number: 8679874
    Abstract: This invention provides an optoelectronic semiconductor device having a rough surface and the manufacturing method thereof. The optoelectronic semiconductor device comprises a semiconductor stack having a rough surface and an electrode layer overlaying the semiconductor stack. The rough surface comprises a first region having a first topography and a second region having a second topography. The method comprises the steps of forming a semiconductor stack on a substrate, forming an electrode layer on the semiconductor stack, thermal treating the semiconductor stack, and wet etching the surface of the semiconductor stack to form a rough surface.
    Type: Grant
    Filed: August 28, 2012
    Date of Patent: March 25, 2014
    Assignee: Epistar Corporation
    Inventors: Chiu-Lin Yao, Ta-Cheng Hsu
  • Patent number: 8679984
    Abstract: An example embodiment relates to a method of manufacturing an array of electric devices that includes attaching a platform including a micro-channel structure to a substrate. The method includes injecting first and second solutions into the micro-channel structure to form at least three liquid film columns, where the first and second solutions include different solvent composition ratios and the liquid columns each, respectfully, include different solvent composition ratios. The method further includes detaching the platform the substrate, removing solvent from the liquid film columns to form thin film columns, and treating the thin film columns under different conditions along a length direction of the thin film columns. The solvent is removed from the thin film columns and the thin film columns are treated under different conditions along a length direction of the thin film columns.
    Type: Grant
    Filed: June 30, 2011
    Date of Patent: March 25, 2014
    Assignees: Samsung Electronics Co., Ltd., The United States of America as represented by the National Institutes of Health (NIH), The United States of America as represented by the Dept. of Health and Human Services (DHHS)
    Inventors: Jong Won Chung, Christopher J. Bettinger, Zhenan Bao, Do Hwan Kim, Bang Lin Lee, Jeong Il Park, Yong Wan Jin, Sang Yoon Lee
  • Patent number: 8652338
    Abstract: A magnetic recording medium a magnetic recording medium includes a soft magnetic layer formed on a substrate, magnetic patterns made of a protruded ferromagnetic layer separated from each other on the soft magnetic layer, and a nonmagnetic layer formed between the magnetic patterns, a nitrogen concentration therein being higher on a surface side than on a substrate side.
    Type: Grant
    Filed: November 15, 2012
    Date of Patent: February 18, 2014
    Assignee: Kabushiki Kaisha Toshiba
    Inventors: Kaori Kimura, Yoshiyuki Kamata, Satoshi Shirotori, Tsuyoshi Onitsuka
  • Patent number: 8617998
    Abstract: Methods of forming integrated circuit devices utilize fine width patterning techniques to define conductive or insulating patterns having relatively narrow and relative wide lateral dimensions. A target material layer is formed on a substrate and first and second mask layers of different material are formed in sequence on the target material layer. The second mask layer is selectively etched to define a first pattern therein. Sidewall spacers are formed on opposing sidewalls of the first pattern. The first pattern and sidewall spacers are used collectively as an etching mask during a step to selectively etch the first mask layer to define a second pattern therein. The first pattern is removed to define an opening between the sidewall spacers. The first mask layer is selectively re-etched to convert the second pattern into at least a third pattern, using the sidewall spacers as an etching mask. The target material layer is selectively etched using the third pattern as an etching mask.
    Type: Grant
    Filed: June 28, 2011
    Date of Patent: December 31, 2013
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Jae-ho Min, Seong-soo Lee, Ki-jeong Kim
  • Patent number: 8614150
    Abstract: A method for etching on a semiconductors at the back end of line using reactive ion etching. The method comprises reduced pressure atmosphere and a mixture of gases at a specific flow rate ratio during plasma generation and etching. Plasma generation is induced by a source radio frequency and anisotropic etch performance is induced by a second bias radio frequency.
    Type: Grant
    Filed: July 10, 2008
    Date of Patent: December 24, 2013
    Assignee: International Business Machines Corporation
    Inventors: Peter Biolsi, Samuel S. Choi, Kevin MacKey
  • Patent number: 8592322
    Abstract: A method of fabricating openings is disclosed. First, a semiconductor substrate having a salicide region thereon is provided. An etch stop layer and at least a dielectric layer are disposed on the semiconductor substrate from bottom to top. Second, the dielectric layer and the etching stop layer are patterned to form a plurality of openings in the dielectric layer and in the etching stop layer so that the openings expose the salicide region. Then, a dielectric thin film covering the dielectric layer, sidewalls of the openings and the salicide region is formed. Later, the dielectric thin film disposed on the dielectric layer and on the salicide region is removed.
    Type: Grant
    Filed: June 28, 2012
    Date of Patent: November 26, 2013
    Assignee: United Microelectronics Corp.
    Inventors: Feng-Yi Chang, Pei-Yu Chou, Jiunn-Hsiung Liao, Chih-Wen Feng, Ying-Chih Lin, Po-Chao Tsao
  • Patent number: 8586483
    Abstract: A method of removing a metal nitride material is disclosed. The method comprises forming a semiconductor device structure comprising an exposed metal material and an exposed metal nitride material. The semiconductor device structure is subjected to a solution comprising water, ozone, and at least one additive to remove the exposed metal nitride material at a substantially greater rate than the exposed metal material. Resulting semiconductor device structures are also disclosed, as are compositions used to form the semiconductor device structures.
    Type: Grant
    Filed: September 11, 2012
    Date of Patent: November 19, 2013
    Assignee: Micron Technology, Inc.
    Inventors: Sanjeev Sapra, Janos Fucsko
  • Patent number: 8569168
    Abstract: Method of forming a semiconductor structure which includes forming first conductive spacers on a semiconductor substrate; forming second conductive spacers with respect to the first conductive spacers, at least one of the second conductive spacers adjacent to and in contact with each of the first conductive spacers to form combined conductive spacers; recessing the second conductive spacers with respect to the first conductive spacers so that the first conductive spacers extend beyond the second conductive spacers; depositing an ILD to cover the first and second spacers except for an exposed edge of the first conductive spacers; patterning the exposed edges of the first conductive spacers to recess the edges of the first conductive spacers in predetermined locations to form recesses with respect to the ILD; and filling the recesses with an insulating material to leave unrecessed edges of the first conductive spacers as vias to subsequent wiring features.
    Type: Grant
    Filed: February 13, 2012
    Date of Patent: October 29, 2013
    Assignee: International Business Machines Corporation
    Inventors: Steven J. Holmes, David V. Horak, Charles W. Koburger, III, Shom Ponoth, Chih-Chao Yang
  • Patent number: 8557710
    Abstract: A method and system for performing gas cluster ion beam (GCIB) etch processing of metal-containing material is described. In particular, the GCIB etch processing includes forming a GCIB that contains a halogen element.
    Type: Grant
    Filed: September 1, 2011
    Date of Patent: October 15, 2013
    Assignee: TEL Epion Inc.
    Inventors: Yan Shao, Martin D. Tabat, Christopher K. Olsen, Ruairidh Maccrimmon
  • Patent number: 8475872
    Abstract: Simplified patterning of layers of a thin film is disclosed. In some embodiments, the patterning can include patterning a first conductive layer using a patterned dielectric layer as a mask and patterning a second conductive layer using a patterned passivation layer as another mask. In other embodiments, the patterning can include patterning a first conductive layer using a removable photosensitive layer as a mask, patterning a black mask layer using a removable photo mask, and patterning a second conductive layer using a patterned passivation layer as another mask. In still other embodiments, the patterning can include patterning a first conductive layer using a patterned black mask layer as a mask and patterning a second conductive layer using a patterned passivation layer as another mask. An exemplary device utilizing the thin film so patterned can include a touch sensor panel.
    Type: Grant
    Filed: November 25, 2009
    Date of Patent: July 2, 2013
    Assignee: Apple Inc.
    Inventors: Sunggu Kang, Lili Huang, Steven Porter Hotelling, John Z. Zhong
  • Patent number: 8461053
    Abstract: A method for double patterning is disclosed. In one embodiment the formation a pair of select gate wordlines on either side of a plurality of core wordlines begins by placing a spacer pattern around edges of a photoresist pattern is disclosed. The photoresist pattern is stripped away leaving the spacer pattern. A trim mask is placed over a portion of the spacer pattern. Portions of the spacer pattern are etched away that are not covered by the trim mask. The trim mask is removed, wherein first remaining portions of the spacer pattern define a plurality of core wordlines. A pad mask is placed such that the pad mask and second remaining portions of the spacer pattern define a select gate wordline on either side of the plurality of core wordlines. Finally at least one pattern transfer layer is etched through using the mad mask and the first and second remaining portions of the spacer pattern to etch the select gate wordlines and the plurality of core wordlines into a poly silicon layer.
    Type: Grant
    Filed: December 17, 2010
    Date of Patent: June 11, 2013
    Assignee: Spansion LLC
    Inventors: Tung-Sheng Chen, Shenqing Fang
  • Patent number: 8431485
    Abstract: A manufacturing method for a buried circuit structure includes providing a substrate having at least a trench formed therein, forming a firs conductive layer on the substrate blanketly, forming a patterned photoresist having a surface lower than an opening of the trench in the trench, removing the first conductive layer not covered by the patterned photoresist to form a second conductive layer having a top lower than an opening of the trench in the trench, removing the patterned photoresist, performing a dry etching process to remove the second conductive layer from the bottom of the trench to form a third conductive layer on the sidewalls of the trench, performing a selective metal chemical vapor deposition to form a metal layer having a surface lower than a surface of the substrate, and forming a protecting layer filling the trench on the metal layer.
    Type: Grant
    Filed: April 9, 2012
    Date of Patent: April 30, 2013
    Assignee: Taiwan Memory Company
    Inventors: Le-Tien Jung, Tai-Sheng Feng
  • Patent number: 8421228
    Abstract: A contact structure and a method of forming the contact structure. The structure includes: a silicide layer on and in direct physical contact with a top substrate surface of a substrate; an electrically insulating layer on the substrate; and an aluminum plug within the insulating layer. The aluminum plug has a thickness not exceeding 25 nanometers in a direction perpendicular to the top substrate surface. The aluminum plug extends from a top surface of the silicide layer to a top surface of the insulating layer. The aluminum plug is in direct physical contact with the top surface of the silicide layer and is in direct physical contact with the silicide layer. The method includes: forming the silicide layer on and in direct physical contact with the top substrate surface of the substrate; forming the electrically insulating layer on the substrate; and forming the aluminum plug within the insulating layer.
    Type: Grant
    Filed: February 27, 2012
    Date of Patent: April 16, 2013
    Assignee: International Business Machines Corporation
    Inventors: Ying Li, Keith Kwong Hon Wong, Chih-Chao Yang
  • Patent number: 8404597
    Abstract: A method for etching a layer assembly, the layer assembly including an intermediate layer sandwiched between an etch layer and a stop layer, the method including a step of etching the etch layer using a first etchant and a step of etching the intermediate layer using a second etchant. The first etchant includes a first etch selectivity of at least 5:1 with respect to the etch layer and the intermediate layer. The second etchant includes a second etch selectivity of at least 5:1 with respect to the intermediate layer and the stop layer. The first etchant being different from the second etchant.
    Type: Grant
    Filed: November 9, 2007
    Date of Patent: March 26, 2013
    Assignee: Infineon Technologies AG
    Inventors: Lothar Brencher, Dirk Meinhold, Michael Hartenberger, Georg Seidemann, Wolfgang Dickenscheld
  • Patent number: 8372743
    Abstract: An integrated circuit may be formed by a process of forming a three interconnect patterns in a plurality of parallel route tracks, using photolithography processes which have illumination sources capable of a pitch distance twice the pitch distance of the parallel route tracks. The first interconnect pattern includes a first lead pattern which extends to a first point. The second interconnect pattern includes a second lead pattern which is parallel to and immediately adjacent to the first lead pattern. The third interconnect pattern includes a third lead pattern which is parallel to and immediately adjacent to the second pattern and which extends to a second point in the first instance of the parallel route tracks, laterally separated from the first point by a distance less than one and one-half times a space between adjacent patterns in the parallel route tracks.
    Type: Grant
    Filed: March 1, 2012
    Date of Patent: February 12, 2013
    Assignee: Texas Instruments Incorporated
    Inventor: James Walter Blatchford
  • Patent number: 8338295
    Abstract: A method of fabricating a metal interconnection and a method of fabricating image sensor using the same are provided. The method of fabricating a metal interconnection including forming a interlayer dielectric layer on a substrate, forming an interconnection formation region in the interlayer dielectric layer, performing an ultraviolet (UV) treatment on the substrate after the interconnection formation region is formed and forming a metal interconnection in the interconnection formation region.
    Type: Grant
    Filed: August 10, 2011
    Date of Patent: December 25, 2012
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Jeong-Ho Lee, Young-Hoon Park, Sang-Il Jung, Jun-Seok Yang, An-Chul Shin, Min-Young Jung
  • Patent number: 8309457
    Abstract: A method utilizing a multilayer anti-reflective coating layer structure can achieve low reflectivity at high numerical apertures. The multilayer anti-reflective coating structure can be utilized as a hard mask forming various integrated circuit structures. A multilayer anti-reflective coating structure can be utilized to form gate stacks comprised of polysilicon and a dielectric layer. A photoresist is applied above the multilayer anti-reflective coating which can include silicon oxynitride (SiON) and silicon rich nitride (SiRN).
    Type: Grant
    Filed: October 27, 2011
    Date of Patent: November 13, 2012
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Kouros Ghandehari, Anna M. Minvielle, Marina V. Plat, Hirokazu Tokuno
  • Patent number: 8283259
    Abstract: A method of removing a metal nitride material is disclosed. The method comprises forming a semiconductor device structure comprising an exposed metal material and an exposed metal nitride material. The semiconductor device structure is subjected to a solution comprising water, ozone, and at least one additive to remove the exposed metal nitride material at a substantially greater rate than the exposed metal material.
    Type: Grant
    Filed: August 31, 2010
    Date of Patent: October 9, 2012
    Assignee: Micron Technology, Inc.
    Inventors: Sanjeev Sapra, Janos Fucsko
  • Patent number: 8273666
    Abstract: Formation of a bottom electrode for an MTJ device on a silicon nitride substrate is facilitated by including a protective coating that is partly consumed during etching of the alpha tantalum portion of said bottom electrode. Adhesion to SiN is enhanced by using a TaN/NiCr bilayer as “glue”.
    Type: Grant
    Filed: November 19, 2010
    Date of Patent: September 25, 2012
    Assignee: MagIC Technologies, Inc.
    Inventors: Rongfu Xiao, Cheng T. Horng, Ru-Ying Tong, Chyu-Jinh Torng, Tom Zhong, Witold Kula, Terry Kin Ting Ko, Wei Cao, Wai-Ming J. Kan, Liubo Hong
  • Patent number: 8236702
    Abstract: A semiconductor substrate having an etch stop layer and at least a dielectric layer disposed from bottom to top is provided. The dielectric layer and the etching stop layer is then patterned to form a plurality of openings exposing the semiconductor substrate. A dielectric thin film is subsequently formed to cover the dielectric layer, the sidewalls of the openings, and the semiconductor substrate. The dielectric thin film disposed on the dielectric layer and the semiconductor substrate is then removed while the dielectric thin film disposed on the sidewalls remains.
    Type: Grant
    Filed: March 5, 2008
    Date of Patent: August 7, 2012
    Assignee: United Microelectronics Corp.
    Inventors: Feng-Yi Chang, Pei-Yu Chou, Jiunn-Hsiung Liao, Chih-Wen Feng, Ying-Chih Lin, Po-Chao Tsao
  • Patent number: 8232214
    Abstract: A liquid crystal display device includes a plurality of gate lines and data lines crossing each other to define a plurality of pixel regions, a plurality of thin film transistors, each disposed in one of the pixel regions, and a plurality of pixel electrodes, each disposed in one of the pixel regions, wherein the thin film transistor includes at least one Ti layer.
    Type: Grant
    Filed: October 18, 2011
    Date of Patent: July 31, 2012
    Assignee: LG Display Co., Ltd
    Inventors: Gee-Sung Chae, Yong-Sup Hwang
  • Patent number: 8183146
    Abstract: A manufacturing method for a buried circuit structure includes providing a substrate having at least a trench therein, forming a conductive layer having a top lower than an opening of the trench in the trench, performing a selective metal chemical vapor deposition (CVD) to form a metal layer having a top lower than the substrate in the trench, and forming a protecting layer filling the trench on the metal layer.
    Type: Grant
    Filed: December 29, 2010
    Date of Patent: May 22, 2012
    Assignee: Taiwan Memory Company
    Inventors: Tai-Sheng Feng, Le-Tien Jung
  • Patent number: 8173523
    Abstract: To provide a method of removing a heavy metal contained in a thinned semiconductor substrate. A method of removing a heavy metal in a semiconductor substrate of the present invention comprises: attaching, to a rear surface of the semiconductor substrate, a material that lowers a potential barrier of the rear surface of the semiconductor substrate, on a front surface of which a circuit is to be formed or is formed; applying a thermal treatment to the semiconductor substrate under a condition based on a thickness and a resistivity of the semiconductor substrate; and, depositing the heavy metal in the semiconductor substrate on the rear surface.
    Type: Grant
    Filed: October 6, 2010
    Date of Patent: May 8, 2012
    Assignee: Sumco Corporation
    Inventors: Noritomo Mitsugi, Masataka Hourai, Shuichi Samata, Kiyoshi Nagai, Kei Matsumoto
  • Patent number: 8163620
    Abstract: The present application discloses a method for etching a Mo-based metal gate stack with an aluminum nitride barrier, comprising the steps of forming a SiO2 interface layer, a high K dielectric layer, a Mo-based metal gate layer, an AlN barrier layer, a silicon gate layer and a hard mask in sequence on a semiconductor substrate; performing lithography on the semiconductor substrate with the SiO2 interface layer, the high K dielectric layer, the Mo-based metal gate layer, the AlN barrier layer, the silicon gate layer and the hard mask using a photoresist, and etching the hard mask; removing the photoresist, and performing an anisotropic etching for silicon gate with high selectivity to the underlying AlN barrier layer and metal gate by dry etching using the hard mask; performing an anisotropic etching for the AlN barrier layer, the Mo-based metal gate layer, and the high K dielectric layer by a dry etching.
    Type: Grant
    Filed: September 21, 2010
    Date of Patent: April 24, 2012
    Assignee: Institute of Microelectronics, Chinese Academy of Sciences
    Inventors: Yongliang Li, Qiuxia Xu
  • Patent number: 8080432
    Abstract: A method of forming a STT-MTJ MRAM cell that utilizes transfer of spin angular momentum as a mechanism for changing the magnetic moment direction of a free layer. The device includes an IrMn pinning layer, a SyAP pinned layer, a naturally oxidized, crystalline MgO tunneling barrier layer that is formed on an Ar-ion plasma smoothed surface of the pinned layer and, in one embodiment, a free layer that comprises an amorphous layer of Co60Fe20B20. of approximately 20 angstroms thickness formed between two crystalline layers of Fe of 3 and 6 angstroms thickness respectively. The free layer is characterized by a low Gilbert damping factor and by very strong polarizing action on conduction electrons. The resulting cell has a low critical current, a high dR/R and a plurality of such cells will exhibit a low variation of both resistance and pinned layer magnetization angular dispersion.
    Type: Grant
    Filed: June 21, 2010
    Date of Patent: December 20, 2011
    Assignee: MagIC Technologies, Inc.
    Inventors: Cheng T. Horng, Ru-Ying Tong, Chyu-Jiuh Torng, Witold Kula
  • Patent number: 8012878
    Abstract: A two-stage method to remove a metal layer from a substrate surface comprises using a CMP process to remove a first portion of the metal layer from the substrate surface, and using an ALV process to remove a second portion of the copper layer from the substrate surface. The ALV process comprises pulsing a co-reactant into a reactor housing the substrate, wherein the co-reactant reacts with the metal layer to form a volatile metal-containing product, and then evacuating the reactor to volatize and remove the metal-containing product.
    Type: Grant
    Filed: June 30, 2007
    Date of Patent: September 6, 2011
    Assignee: Intel Corporation
    Inventors: Adrien R. Lavoie, Harsono S. Simka
  • Patent number: 7981734
    Abstract: A manufacturing method of a thin film transistor includes forming a pair of source/drain electrodes on a substrate, such that the source/drain electrodes define a gap therebetween; forming low resistance conductive thin films, which define a gap therebetween, on the source/drain electrodes; and forming an oxide semiconductor thin film layer on upper surface of the low resistance conductive thin films and in the gap defined between the low resistance conductive thin films so that the oxide semiconductor thin film layer functions as a channel. The low resistance conductive thin films and the oxide semiconductor thin film layer are etched so that side surfaces of the resistance conductive thin films and corresponding side surfaces of the oxide semiconductor thin film layer coincide with each other in a channel width direction of the channel. A gate electrode is mounted over the oxide semiconductor thin film layer.
    Type: Grant
    Filed: July 8, 2009
    Date of Patent: July 19, 2011
    Assignees: Kochi Industrial Promotion Center, Casio Computer Co., Ltd.
    Inventors: Mamoru Furuta, Takashi Hirao, Hiroshi Furuta, Tokiyoshi Matsuda, Takahiro Hiramatsu, Hiromitsu Ishii, Hitoshi Hokari, Motohiko Yoshida
  • Patent number: 7981786
    Abstract: A method of fabricating a non-volatile memory device having a charge trapping layer includes forming a tunneling layer, a charge trapping layer, a blocking layer and a control gate electrode layer over a substrate, forming a mask layer pattern on the control gate electrode layer, performing an etching process using the mask layer pattern as an etching mask to remove an exposed portion of the control gate electrode layer, wherein the etching process is performed as excessive etching to remove the charge trapping layer by a specified thickness, forming an insulating layer for blocking charges from moving on the control gate electrode layer and the mask layer pattern, performing anisotropic etching on the insulating layer to form an insulating layer pattern on a sidewall of the control gate electrode layer and a partial upper sidewall of the blocking layer, and performing an etching process on the blocking layer exposed by the anisotropic etching, wherein the etching process is performed as excessive etching to
    Type: Grant
    Filed: December 28, 2007
    Date of Patent: July 19, 2011
    Assignee: Hynix Semiconductor Inc.
    Inventors: Moon Sig Joo, Seung Ho Pyi, Ki Seon Park, Heung Jae Cho, Yong Top Kim
  • Patent number: 7964463
    Abstract: A method for fabricating a semiconductor device includes forming a plurality of pillar structures over a substrate, forming gate electrodes over sidewalls of the pillar structures, forming a sacrificial layer buried between the pillar structures, etching the sacrificial layer and the substrate to form trenches in the substrate, forming first inter-layer insulation patterns buried over the trenches and removing the remaining sacrificial layer at substantially the same time, and forming second inter-layer insulation patterns over the first inter-layer insulation patterns and buried between the pillar structures.
    Type: Grant
    Filed: June 26, 2009
    Date of Patent: June 21, 2011
    Assignee: Hynix Semiconductor Inc.
    Inventor: Sang-Kil Kang
  • Patent number: 7948082
    Abstract: Nanowire articles and methods of making the same are disclosed. A conductive article includes a plurality of inter-contacting nanowire segments that define a plurality of conductive pathways along the article. The nanowire segments may be semiconducting nanowires, metallic nanowires, nanotubes, single walled carbon nanotubes, multi-walled carbon nanotubes, or nanowires entangled with nanotubes. The various segments may have different lengths and may include segments having a length shorter than the length of the article. A strapping material may be positioned to contact a portion of the plurality of nanowire segments. The strapping material may be patterned to create the shape of a frame with an opening that exposes an area of the nanowire fabric. Such a strapping layer may also be used for making electrical contact to the nanowire fabric especially for electrical stitching to lower the overall resistance of the fabric.
    Type: Grant
    Filed: August 21, 2008
    Date of Patent: May 24, 2011
    Assignee: Nantero, Inc.
    Inventors: Brent M. Segal, Thomas Rueckes, Claude L. Bertin
  • Patent number: 7935641
    Abstract: Example methods may provide a thin film etching method. Example thin film etching methods may include forming a Ga—In—Zn—O film on a substrate, forming a mask layer covering a portion of the Ga—In—Zn—O film, and etching the Ga—In—Zn—O film using the mask layer as an etch barrier, wherein an etching gas used in the etching includes chlorine. The etching gas may further include an alkane (CnH2n+2) and H2 gas. The chlorine gas may be, for example, Cl2, BCl3, and/or CCl3, and the alkane gas may be, for example, CH4.
    Type: Grant
    Filed: November 21, 2007
    Date of Patent: May 3, 2011
    Assignee: Samsung Electronic Co., Ltd.
    Inventors: Yeon-hee Kim, Jung-hyun Lee, Yong-young Park, Chang-soo Lee
  • Patent number: 7838436
    Abstract: Formation of a bottom electrode for an MTJ device on a silicon nitride substrate is facilitated by including a layer of ruthenium near the silicon nitride surface. The ruthenium is a good electrical conductor and it responds differently from Ta and TaN to certain etchants. Adhesion to SiN is enhanced by using a TaN/NiCr bilayer as “glue”. Thus, said included layer of ruthenium may be used as an etch stop layer during the etching of Ta and/or TaN while the latter materials may be used to form a hard mask for etching the ruthenium without significant corrosion of the silicon nitride surface.
    Type: Grant
    Filed: September 28, 2006
    Date of Patent: November 23, 2010
    Assignee: MagIC Technologies, Inc.
    Inventors: Rongfu Xiao, Cheng T. Horng, Ru-Ying Tong, Chyu-Jinh Torng, Tom Zhong, Witold Kula, Terry Kin Ting Ko, Wei Cao, Wai-Ming J. Kan, Liubo Hong
  • Publication number: 20100267242
    Abstract: In a method of vapor etching, a sample that includes a first layer atop of and in contact with a second layer which is atop of and in contact with a third layer, wherein at least the first and second layers are comprised of different materials. The sample is etched by a vapor etchant under first process conditions that cause at least a part of the first layer to be fully removed while leaving the third layer and the second layer underlying the removed part of the first layer substantially unetched. The sample is then etched by the same or a different vapor etchant under second process conditions that cause at least the part of the second layer exposed by the removal of the at least part of the first layer to be fully removed while leaving the third layer underlying the removed part of the second layer substantially unetched.
    Type: Application
    Filed: April 20, 2010
    Publication date: October 21, 2010
    Applicant: XACTIX, INC.
    Inventors: Kyle S. Lebouitz, David L. Springer, John J. Neumann, JR.
  • Publication number: 20100248493
    Abstract: A photomask blank is provided comprising a transparent substrate, a single or multi-layer film including an outermost layer composed of chromium base material, and an etching mask film. The etching mask film is a silicon oxide base material film formed of a composition comprising a hydrolytic condensate of a hydrolyzable silane, a crosslink promoter, and an organic solvent and having a thickness of 1-10 nm. The etching mask film has high resistance to chlorine dry etching, ensuring high-accuracy processing of the photomask blank.
    Type: Application
    Filed: March 26, 2010
    Publication date: September 30, 2010
    Inventors: Satoshi WATANABE, Ryuji Koitabashi, Shinichi Igarashi, Yoshio Kawai, Shozo Shirai
  • Patent number: 7799691
    Abstract: A method and apparatus for anisotropically etching a recess in a silicon substrate is disclosed. Generally, a plasma is used for energetic excitation of a reactive etching gas, wherein the reactive etching gas is a constituent of a continuous gas flow. A recess is anisotropically etched in a silicon substrate using the reactive etching gas, during which time the recess id deepened by at least fifty micrometers without interrupting the gas flow of the reactive etching gas.
    Type: Grant
    Filed: January 10, 2006
    Date of Patent: September 21, 2010
    Assignee: Infineon Technologies AG
    Inventors: Thorsten Hanewald, Andreas Hauser, Ingold Janssen, Kai-Olaf Subke
  • Patent number: RE42004
    Abstract: A semiconductor device of the present invention is a semiconductor memory having a charge storage film. Recesses or holes which effectively increase the capacitance of a floating gate or a memory cell capacitor are formed in the charge storage film. These recesses or holes are formed at the same time the floating gate electrode or the lower electrode of the capacitor is isolated into the form of islands. A dielectric film and a polysilicon film is formed on the isolated island floating gate electrodes or lower electrodes. These recesses or holes increase the surface area of the dielectric film and improve the write and erase characteristics of a memory cell.
    Type: Grant
    Filed: January 18, 2007
    Date of Patent: December 21, 2010
    Inventor: Fumitaka Sugaya
  • Patent number: RE43877
    Abstract: A preprocessed semiconductor substrate such as a wafer is provided with a metal etch mask which defines singulation channels on the substrate surface. An isotropic etch process is used to define a singulation channel with a first depth extending into the semiconductor substrate material. A second anisotropic etch process is used to increase the depth of the singulation channel while providing substantially vertical singulation channel sidewalls. The singulation channel can be extended through the depth of the substrate or, in an alternative embodiment, a predetermined portion of the inactive surface of the substrate removed to expose the singulation channels. In this manner, semiconductor die can be precisely singulated from a wafer while maintaining vertical die sidewalls.
    Type: Grant
    Filed: February 25, 2010
    Date of Patent: December 25, 2012
    Assignee: Aprolase Development Co., LLC
    Inventors: David Ludwig, James Yamaguchi, Stewart Clark, W. Eric Boyd