Multiple Layers Patents (Class 438/761)
  • Publication number: 20150099372
    Abstract: Disclosed herein are methods of depositing layers of material on multiple semiconductor substrates at multiple processing stations within one or more reaction chambers. The methods may include dosing a first substrate with film precursor at a first processing station and dosing a second substrate with film precursor at a second processing station with precursor flowing from a common source, wherein the timing of said dosing is staggered such that the first substrate is dosed during a first dosing phase during which the second substrate is not substantially dosed, and the second substrate is dosed during a second dosing phase during which the first substrate is not substantially dosed. Also disclosed herein are apparatuses having a plurality of processing stations contained within one or more reaction chambers and a controller with machine-readable instructions for staggering the dosing of first and second substrates at first and second processing stations.
    Type: Application
    Filed: December 15, 2014
    Publication date: April 9, 2015
    Inventors: Ramesh Chandrasekharan, Adrien LaVoie, Damien Slevin, Karl Leeser
  • Patent number: 8999858
    Abstract: The substrate processing apparatus includes a reaction chamber configured to accommodate a substrate; a first gas supply unit configured to supply a first process gas containing a silicon element to the substrate; a second gas supply unit configured to supply a second process gas containing a silicon element and a chlorine element to the substrate; an exhaust unit configured to exhaust the first process gas and the second process gas; a cleaning gas bypass supply unit configured to supply a cleaning gas to the exhaust unit; a cleaning monitoring unit installed in the exhaust unit; a gas flow rate control unit configured to adjust an amount of the cleaning gas supplied; and a main control unit configured to control the gas flow rate control unit in response to a signal received from the cleaning gas monitoring unit.
    Type: Grant
    Filed: December 26, 2012
    Date of Patent: April 7, 2015
    Assignee: Hitachi Kokusai Electric Inc.
    Inventors: Yasunobu Koshi, Kenichi Suzaki, Akihito Yoshino
  • Patent number: 8999787
    Abstract: A semiconductor device includes a plurality of conductive layers and a plurality of insulating layers formed alternately with each other, at least one channel layer passing through the plurality of conductive layers and the plurality of insulating layers, and at least one first charge blocking layer surrounding the at least one channel layer, wherein a plurality of first regions, interposed between the at least one channel layer and the plurality of conductive layers, and a plurality of second regions, interposed between the at least one channel layer and the plurality of insulating layers, are alternately defined on the at least one first charge blocking layer, and each of the plurality of first regions has a greater thickness than each of the plurality of second regions.
    Type: Grant
    Filed: August 28, 2014
    Date of Patent: April 7, 2015
    Assignee: SK Hynix Inc.
    Inventors: Ki Hong Lee, Seung Ho Pyi, Seok Min Jeon
  • Publication number: 20150093911
    Abstract: A method of manufacturing a semiconductor device includes: (a) forming a first film containing a metal element on a substrate by performing a cycle a predetermined number of times, the cycle including: (a-1) supplying a first precursor gas being a fluorine-free inorganic gas containing the metal element to the substrate; and (a-2) supplying a first reactant gas having reducibility to the substrate; (b) forming a second film containing the metal element on the first film by performing a cycle a predetermined number of times, the cycle including: (b-1) supplying a second precursor gas containing the metal element and fluorine to the substrate; and (b-2) supplying a second reactant gas having reducibility to the substrate; and (c) forming a film containing the metal element and obtained by the first film and the second film being laminated on the substrate by performing the (a) and (b).
    Type: Application
    Filed: September 29, 2014
    Publication date: April 2, 2015
    Applicant: Hitachi Kokusai Electric Inc.
    Inventors: Kimihiko NAKATANI, Kazuhiro HARADA, Hiroshi ASHIHARA, Ryuji YAMAMOTO
  • Publication number: 20150093910
    Abstract: Methods for converting planar designs to FinFET designs in the design and fabrication of integrated circuits are provided. In one embodiment, a method for converting a planar integrated circuit design to a non-planar integrated circuit design includes identifying a rectangular silicon active area in the planar integrated circuit design, superimposing a FinFET design grid comprising a plurality of equidistantly-spaced parallel grid lines over the rectangular silicon active area such that two sides of the rectangular silicon active area are parallel to the grid lines, and generating a rectangular active silicon marker area encompassing the silicon active area. Furthermore, the method includes generating fin mandrels longitudinally along every other grid line of the plurality of grid lines and within the active silicon marker area and the silicon active area, and removing the fin mandrels from areas of the design grid outside of the active silicon marker area.
    Type: Application
    Filed: September 27, 2013
    Publication date: April 2, 2015
    Applicant: GLOBALFOUNDERIES Singapore Pte. Ltd.
    Inventors: Soon Yoeng Tan, Srinidhi Ramamoorthy, Angeline Ho Chye Ee, Andreas Knorr, Frank Scott Johnson
  • Patent number: 8993454
    Abstract: Embodiments of the present invention generally relate to the fabrication of integrated circuits and particularly to the deposition of a boron containing amorphous carbon layer on a semiconductor substrate. In one embodiment, a boron-containing amorphous carbon film is disclosed. The boron-containing amorphous carbon film comprises from about 10 to 60 atomic percentage of boron, from about 20 to about 50 atomic percentage of carbon, and from about 10 to about 30 atomic percentage of hydrogen.
    Type: Grant
    Filed: September 16, 2013
    Date of Patent: March 31, 2015
    Assignee: Applied Materials, Inc.
    Inventors: Martin Jay Seamons, Sudha Rathi, Kwangduk Douglas Lee, Deenesh Padhi, Bok Hoen Kim, Chiu Chan
  • Publication number: 20150087158
    Abstract: A method for depositing a film is provided. In the method, an object to be processed is accommodated in a process chamber, and an insulating film made of a polymer thin film is deposited on a surface of the object to be processed by supplying a first source gas composed of an acid anhydride and a second source gas composed of a diamine into the process chamber that is evacuated. Next, the insulating film is modified so as to have a barrier function by stopping the supply of the second source gas into the process chamber and continuously supplying the first source gas into the process chamber.
    Type: Application
    Filed: April 22, 2013
    Publication date: March 26, 2015
    Inventors: Kippei Sugita, Hiroyuki Hashimoto, Muneo Harada
  • Patent number: 8987147
    Abstract: A method of depositing a film on substrates using an apparatus including a turntable mounting substrates, first and second process areas above the upper surface of the turntable provided with gas supplying portions, a separation gas supplying portion between the first and second process areas, and a separation area including depositing a first oxide film by rotating the turntable first turns while supplying a first reaction gas, the oxidation gas from the second gas supplying portion, and the separation gas; rotating at least one turn while supplying the separation gas from the first gas supplying portion and the separation gas supplying portion, and the oxidation gas from the second gas supplying portion; and rotating at least second turns to deposit a second oxide film while supplying a second reaction gas from the first gas supplying portion, the oxidation gas from the second gas supplying portion, and the separation gas.
    Type: Grant
    Filed: December 17, 2013
    Date of Patent: March 24, 2015
    Assignee: Tokyo Electron Limited
    Inventors: Hiroaki Ikegawa, Masahiko Kaminishi, Kosuke Takahashi, Masato Koakutsu, Jun Ogawa
  • Publication number: 20150076705
    Abstract: Interlayer fabrication methods and interlayer structure are provided having reduced dielectric constants. The methods include, for example: providing a first uncured insulating layer with an evaporable material; and disposing a second uncured insulating layer having porogens above the first uncured insulating layer. The interlayer structure includes both the first and second insulating layers, and the methods further include curing the interlayer structure, leaving air gaps in the first insulating layer, and pores in the second insulating layer, where the air gaps are larger than the pores, and where the air gaps and pores reduce the dielectric constant of the interlayer structure.
    Type: Application
    Filed: September 16, 2013
    Publication date: March 19, 2015
    Applicant: GLOBALFOUNDRIES Inc.
    Inventors: Sunil Kumar SINGH, Matthew HERRICK, Teck Jung TANG, Dewei XU
  • Patent number: 8980765
    Abstract: Combinatorial plasma enhanced deposition techniques are described, including designating multiple regions of a substrate, providing a precursor to at least a first region of the multiple regions, and providing a plasma to the first region to deposit a first material on the first region formed using the first precursor, wherein the first material is different from a second material formed on a second region of the substrate.
    Type: Grant
    Filed: October 19, 2012
    Date of Patent: March 17, 2015
    Assignee: Intermolecular, Inc.
    Inventors: Sunil Shanker, Tony P. Chiang
  • Publication number: 20150069585
    Abstract: A semiconductor device includes a first passivation layer including a first passivation portion and a second passivation portion substantially diametrically opposite the first passivation portion. The semiconductor device includes a first corner of the first passivation portion separated a first distance from a second corner of the second passivation portion. A third corner of the first passivation portion is separated a second distance from a fourth corner of the second passivation portion.
    Type: Application
    Filed: September 12, 2013
    Publication date: March 12, 2015
    Inventors: Chen Jui-Chun, Ming-Yi Lee, Feng-Chi Chou, Shih-Han Liu
  • Patent number: 8975193
    Abstract: A microfabricated device is fabricated by depositing a first metal layer on a substrate to provide a first electrode of an electrostatic actuator, depositing a first structural polymer layer over the first metal layer, depositing a second metal layer over said first structural polymer layer to form a second electrode of the electrostatic actuator, depositing an insulating layer over said first structural polymer layer, planarizing the insulating layer, etching the first structural polymer layer through the insulating layer and the second metal layer to undercut the second metal layer, providing additional pre-formed structural polymer layers, at least one of which has been previously patterned, and finally bonding the additional structural layers in the form of a stack over the planarized second insulating layer to one or more microfluidic channels. The technique can also be used to make cross over channels in devices without electrostatic actuators, in which case the metal layers can be omitted.
    Type: Grant
    Filed: August 2, 2011
    Date of Patent: March 10, 2015
    Assignee: Teledyne DALSA Semiconductor, Inc.
    Inventors: Robert Johnstone, Stephane Martel
  • Publication number: 20150061041
    Abstract: A method of forming a semiconductor device is disclosed. A substrate having a dielectric layer thereon is provided. The dielectric layer has a gate trench therein and a gate dielectric layer is formed on a bottom of the gate trench. A work function metal layer and a top barrier layer are sequentially formed in the gate trench. A treatment is performed to the top barrier layer so as to form a silicon-containing top barrier layer. A low-resistivity metal layer is formed in the gate trench.
    Type: Application
    Filed: September 3, 2013
    Publication date: March 5, 2015
    Applicant: United Microelectronics Corp.
    Inventors: Chun-Hsien Lin, Min-Hsien Chen
  • Patent number: 8962489
    Abstract: Disclosed is a method for etching a film contains cobalt and palladium is provided. A hard mask is provided on the film. The method film includes a process “a” of etching the film by ion sputter etching, a process “b” of exposing a workpiece to plasma of a first gas containing halogen elements after the process “a” of etching of the film, a process “c” of exposing the workpiece to plasma of a second gas containing carbons after the process “b” of exposing the workpiece to the plasma of the first gas, and a process “d” of exposing the workpiece to plasma of a third gas containing a noble gas after the process “c” of exposing the workpiece to the plasma of the second gas. In the method, a temperature of a placement table on which the workpiece is placed is set to a first temperature of 10° C. or less in the process “a”, process “b” and process “c”.
    Type: Grant
    Filed: March 18, 2014
    Date of Patent: February 24, 2015
    Assignee: Tokyo Electron Limited
    Inventors: Eiichi Nishimura, Masato Kushibiki
  • Patent number: 8962493
    Abstract: A manufacturing method to form a memory device includes: (1) forming a dielectric layer adjacent to a magnetic stack; (2) forming an opening in the dielectric layer; (3) applying a hard mask material adjacent to the dielectric layer to form a pillar disposed in the opening of the dielectric layer; and (4) using the pillar as a hard mask, patterning the magnetic stack to form a MRAM cell.
    Type: Grant
    Filed: December 13, 2010
    Date of Patent: February 24, 2015
    Assignee: Crocus Technology Inc.
    Inventors: Amitay Levi, Dafna Beery
  • Patent number: 8945302
    Abstract: Method for crystal growth from a surfactant of a metal-nonmetal (MN) compound, including the procedures of providing a seed crystal, introducing atoms of a first metal to the seed crystal thus forming a thin liquid metal wetting layer on a surface of the seed crystal, setting a temperature of the seed crystal below a minimal temperature required for dissolving MN molecules in the wetting layer and above a melting point of the first metal, each one of the MN molecules being formed from an atom of a second metal and an atom of a first nonmetal, introducing the MN molecules which form an MN surfactant monolayer, thereby facilitating a formation of the wetting layer between the MN surfactant monolayer and the surface of the seed crystal, and regulating a thickness of the wetting layer, thereby growing an epitaxial layer of the MN compound on the seed crystal.
    Type: Grant
    Filed: March 4, 2012
    Date of Patent: February 3, 2015
    Assignee: Mosaic Crystals Ltd.
    Inventor: Moshe Einav
  • Patent number: 8945305
    Abstract: Methods for depositing a material, such as a metal or a transition metal oxide, using an ALD (atomic layer deposition) process and resulting structures are disclosed. Such methods include treating a surface of a semiconductor structure periodically throughout the ALD process to regenerate a blocking material or to coat a blocking material that enables selective deposition of the material on a surface of a substrate. The surface treatment may reactivate a surface of the substrate toward the blocking material, may restore the blocking material after degradation occurs during the ALD process, and/or may coat the blocking material to prevent further degradation during the ALD process. For example, the surface treatment may be applied after performing one or more ALD cycles. Accordingly, the presently disclosed methods enable in situ restoration of blocking materials in ALD process that are generally incompatible with the blocking material and also enables selective deposition in recessed structures.
    Type: Grant
    Filed: August 31, 2010
    Date of Patent: February 3, 2015
    Assignee: Micron Technology, Inc.
    Inventor: Eugene P. Marsh
  • Patent number: 8940646
    Abstract: Disclosed herein are methods of depositing layers of material on multiple semiconductor substrates at multiple processing stations within one or more reaction chambers. The methods may include dosing a first substrate with film precursor at a first processing station and dosing a second substrate with film precursor at a second processing station with precursor flowing from a common source, wherein the timing of said dosing is staggered such that the first substrate is dosed during a first dosing phase during which the second substrate is not substantially dosed, and the second substrate is dosed during a second dosing phase during which the first substrate is not substantially dosed. Also disclosed herein are apparatuses having a plurality of processing stations contained within one or more reaction chambers and a controller with machine-readable instructions for staggering the dosing of first and second substrates at first and second processing stations.
    Type: Grant
    Filed: December 18, 2013
    Date of Patent: January 27, 2015
    Assignee: Lam Research Corporation
    Inventors: Ramesh Chandrasekharan, Adrien Lavoie, Damien Slevin, Karl Leeser
  • Patent number: 8937016
    Abstract: A method of producing a patterned inorganic thin film element includes providing a substrate having a patterned thin layer of polymeric inhibitor on the surface. The substrate and the patterned thin layer of polymeric inhibitor are exposed to a highly reactive oxygen process. An inorganic thin film layer is deposited on the substrate in areas without inhibitor using an atomic layer deposition process.
    Type: Grant
    Filed: June 21, 2013
    Date of Patent: January 20, 2015
    Assignee: Eastman Kodak Company
    Inventors: Carolyn R. Ellinger, Shelby F. Nelson, Kurt D. Sieber
  • Publication number: 20150014813
    Abstract: The present disclosure provides integrated circuit elements and MIM/MIS capacitors having high capacitance and methods of forming according integrated circuit elements and integrated MIM/MIS capacitors and methods of controlling an integrated circuit element and an integrated MIM/MIS capacitor. In various aspects, a substrate is provided and a dielectric layer or insulating layer is formed over the substrate. Furthermore, an electrode layer is disposed over the dielectric layer or insulating layer. Herein, the dielectric layer or insulating layer is in an antiferroelectric phase. In various illustrative embodiments, the integrated circuit element may implement a MOSFET structure or a capacitor structure.
    Type: Application
    Filed: February 10, 2014
    Publication date: January 15, 2015
    Applicant: GLOBALFOUNDRIES Inc.
    Inventors: Johannes Mueller, Dina H. Triyoso, Mark Gerard Nolan, Wenke Weinreich, Konrad Seidel, Patrick Polakowski
  • Patent number: 8927978
    Abstract: An object of the invention is to provide an organic electroluminescence (EL) element formed using a relatively stable new electron injection material in an atmosphere of approximately ordinary pressure. An organic EL element of a preferable embodiment is an organic EL element including a supporting substrate, an anode, a light-emitting layer, an electron injection layer, and a cathode in this order, in which the electron injection layer is formed by applying an ink including an ionic polymer so as to form a film, and the cathode is formed by applying an ink including a material which forms the cathode so as to form a film or transferring a conductive thin film which forms the cathode.
    Type: Grant
    Filed: July 20, 2011
    Date of Patent: January 6, 2015
    Assignee: Sumitomo Chemical Company, Limited
    Inventors: Shoji Mima, Yoshinobu Ono
  • Patent number: 8927425
    Abstract: A method for fabricating a semiconductor device utilizing a plurality of masks and spacers. The method includes forming parallel first trenches in a substrate using a first lithographic process. The substrate includes sidewalls adjacent to the parallel first trenches. Forming first spacers adjacent to the sidewalls. Removing the sidewalls, which in part includes using a second lithographic process. Forming second spacers adjacent to the first spacers, resulting in spacer ridges. Etching portions of the substrate between the spacer ridges resulting in second trenches.
    Type: Grant
    Filed: August 19, 2013
    Date of Patent: January 6, 2015
    Assignee: International Business Machines Corporation
    Inventors: Chung H. Lam, Jing Li
  • Publication number: 20150004802
    Abstract: Increased protection of areas of a chip are provided by both a mask structure of increased robustness in regard to semiconductor manufacturing processes or which can be removed with increased selectivity and controllability in regard to underlying materials, or both. Mask structures are provided which exhibit an interface of a chemical reaction, grain or material type which can be exploited to enhance either or both types of protection. Structures of such masks include TERA material which can be converted or hydrated and selectively etched using a mixture of hydrogen fluoride and a hygroscopic acid or organic solvent, and two layer structures of similar or dissimilar materials.
    Type: Application
    Filed: September 16, 2014
    Publication date: January 1, 2015
    Inventors: Deok-kee Kim, Kenneth T. Settlemyer, JR., Kangguo Cheng, Ramachandra Divakaruni, Carl J. Radens, Dirk Pfeiffer, Timothy J. Dalton, Katherina E. Babich, Arpan P. Mohorowala, Harald Okorn-Schmidt
  • Publication number: 20150004800
    Abstract: A method for fabricating a semiconductor device utilizing a plurality of masks and spacers. The method includes forming parallel first trenches in a substrate using a first lithographic process. The substrate includes sidewalls adjacent to the parallel first trenches. Forming first spacers adjacent to the sidewalls. Removing the sidewalls, which in part includes using a second lithographic process. Forming second spacers adjacent to the first spacers, resulting in spacer ridges. Etching portions of the substrate between the spacer ridges resulting in second trenches.
    Type: Application
    Filed: August 19, 2013
    Publication date: January 1, 2015
    Applicant: International Business Machines Corporation
    Inventors: Chung H. Lam, Jing Li
  • Patent number: 8921189
    Abstract: A method for fabricating a semiconductor device including a first region and a second region, wherein pattern density of etch target patterns formed in the second region is lower than that of etch target patterns formed in the first region includes providing a substrate including the first region and the second region, forming an etch target layer over the substrate, forming a hard mask layer over the etch target layer, etching the hard mask layer to form a first and a second hard mask pattern in the first and the second regions, respectively, reducing a width of the second hard mask pattern formed in the second region and etching the etch target layer using the first hard mask pattern and the second hard mask pattern having the reduced width as an etch barrier to form the etch target patterns in the first and the second regions.
    Type: Grant
    Filed: December 26, 2007
    Date of Patent: December 30, 2014
    Assignee: Hynix Semiconductor Inc.
    Inventors: Jae-Seon Yu, Sang-Rok Oh
  • Publication number: 20140377962
    Abstract: One or more techniques or systems for forming a line end space structure are provided herein. In some embodiments, a first patterned second hard mask (HM) region is formed above a first HM region. Additionally, at least some of the first patterned second HM region is removed. In some embodiments, a first sacrificial HM region and a second sacrificial HM region are formed above at least one of the first patterned second HM region or the first HM region. Photo resist (PR) is patterned above the second sacrificial HM region, and a spacer region is deposited above the patterned PR and second sacrificial HM region. In some embodiments, at least some of at least one of the spacer region, the PR, or the respective sacrificial HMs is removed. In this way, a line end space structure associated with an end-to-end space is formed.
    Type: Application
    Filed: September 8, 2014
    Publication date: December 25, 2014
    Inventors: Chia-Ying Lee, Jyu-Horng Shieh, Ming-Feng Shieh, Shih-Ming Chang, Chih-Ming Lai, Ken-Hsien Hsieh, Ru-Gun Liu
  • Publication number: 20140377961
    Abstract: A multi chamber thin film deposition apparatus and a method for depositing films, is provided. Each chamber includes a three dimensional gas delivery system including process gases being delivered downwardly toward the substrate and laterally toward the substrate. A pumping system includes an exhaust port in each chamber that is centrally positioned underneath the substrate being processed and therefore the gas flow around all portions of the edge of the substrate are equally spaced from the exhaust port thereby creating a uniform gas flow profile which results in film thickness uniformity of films deposited on both the front and back surfaces of the substrate. The deposited films demonstrate uniform thickness on the front and back of the substrate and extend inwardly to a uniform distance on the periphery of the backside of the substrate.
    Type: Application
    Filed: June 21, 2013
    Publication date: December 25, 2014
    Applicant: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Lan Hai Wang, Ding-I Liu, Si-Wen Liao, Po-Hsiung Leu, Yong-Hung Yang, Chia-Ming Tai
  • Patent number: 8912050
    Abstract: A structure for a semiconductor component is provided having a bi-layer capping coating integrated and built on supporting layer to be transferred. The bi-layer capping protects the layer to be transferred from possible degradation resulting from the attachment and removal processes of the carrier assembly used for layer transfer. A wafer-level layer transfer process using this structure is enabled to create three-dimensional integrated circuits.
    Type: Grant
    Filed: September 9, 2012
    Date of Patent: December 16, 2014
    Assignee: International Business Machines Corporation
    Inventors: Sampath Purushothaman, Anna W. Topol
  • Publication number: 20140363982
    Abstract: A first nitride semiconductor layer contains Ga. The first nitride semiconductor layer is, for example, a GaN layer, an AlGaN layer, or an AlInGaN layer. Then, an aluminum oxide layer has tetra-coordinated Al atoms each surrounded by four ? atoms and hexa-coordinated Al atoms each surrounded by six ? atoms as Al atoms in the interface region with respect to the first nitride semiconductor layer. The interface region is a region apart, for example, by 1.5 nm or less from the interface with respect to the first nitride semiconductor layer. Then, in the interface region, the tetra-coordinated Al atoms are present by 30 at % or more and less than 50 at % based on the total number of Al atoms.
    Type: Application
    Filed: August 23, 2014
    Publication date: December 11, 2014
    Inventors: Nobuyuki Ikarashi, Takashi Onizawa, Motofumi Saitoh
  • Patent number: 8906790
    Abstract: In some embodiments of the present invention, methods of using one or more small spot showerhead apparatus to deposit materials using CVD, PECVD, ALD, or PEALD on small spots in a site isolated, combinatorial manner are described. The small spot showerheads may be configured within a larger combinatorial showerhead to allow multi-layer film stacks to be deposited in a combinatorial manner.
    Type: Grant
    Filed: November 8, 2013
    Date of Patent: December 9, 2014
    Assignee: Intermolecular, Inc.
    Inventors: Albert Lee, Tony P. Chiang, Jason Wright
  • Publication number: 20140357090
    Abstract: A process for depositing aluminum nitride is disclosed. The process comprises providing a plurality of semiconductor substrates in a batch process chamber and depositing an aluminum nitride layer on the substrates by performing a plurality of deposition cycles without exposing the substrates to plasma during the deposition cycles. Each deposition cycle comprises flowing an aluminum precursor pulse into the batch process chamber, removing the aluminum precursor from the batch process chamber, and removing the nitrogen precursor from the batch process chamber after flowing the nitrogen precursor and before flowing another pulse of the aluminum precursor. The process chamber may be a hot wall process chamber and the deposition may occur at a deposition pressure of less than 1 Torr.
    Type: Application
    Filed: May 31, 2013
    Publication date: December 4, 2014
    Inventors: Werner Knaepen, Bert Jongbloed, Dieter Pierreux, Peter Zagwijn, Hessel Sprey, Cornelius A. van der Jeugd, Marinus Josephus de Blank, Robin Roelofs, Qi Xie, Jan Willem Maes
  • Patent number: 8895382
    Abstract: A MOS solid-state imaging device is provided in which withstand voltage and 1/f noise of a MOS transistor are improved. In the MOS solid-state imaging device whose unit pixel has at least a photoelectric converting portion and a plurality of field effect transistors, the thickness of gate insulating film in a part of the field effect transistors is different from the thickness of gate insulating film in the other field effect transistors among the plurality of the field effect transistors.
    Type: Grant
    Filed: July 30, 2013
    Date of Patent: November 25, 2014
    Assignee: Sony Corporation
    Inventors: Noriko Takagi, Hiroyuki Mori
  • Patent number: 8895406
    Abstract: Provided are methods for making a device or device component by providing a multilayer structure having a plurality of functional layers and a plurality of release layers and releasing the functional layers from the multilayer structure by separating one or more of the release layers to generate a plurality of transferable structures. The transferable structures are printed onto a device substrate or device component supported by a device substrate. The methods and systems provide means for making high-quality and low-cost photovoltaic devices, transferable semiconductor structures, (opto-)electronic devices and device components.
    Type: Grant
    Filed: March 24, 2011
    Date of Patent: November 25, 2014
    Assignee: The Board of Trustees of the University of Illinois
    Inventors: John A. Rogers, Ralph G. Nuzzo, Matthew Meitl, Heung Cho Ko, Jongseung Yoon, Etienne Menard, Alfred J. Baca
  • Patent number: 8895415
    Abstract: The method and apparatus disclosed herein relate to preparing a stack structure for an electronic device on a semiconductor substrate. A particularly beneficial application of the method is in reduction of internal stress in a stack containing multiple layers of silicon. Typically, though not necessarily, the internal stress is a compressive stress, which often manifests as wafer bow. In some embodiments, the method reduces the internal stress of a work piece by depositing phosphorus doped silicon layers having low internal compressive stress or even tensile stress. The method and apparatus disclosed herein can be used to reduce compressive bow in stacks containing silicon.
    Type: Grant
    Filed: May 31, 2013
    Date of Patent: November 25, 2014
    Assignee: Novellus Systems, Inc.
    Inventors: Keith Fox, Dong Niu, Joseph L. Womack
  • Patent number: 8895455
    Abstract: To form an insulating film with extremely low concentration of impurities such as carbon, hydrogen, nitrogen, chlorine, etc in a film. There are provided the steps of forming a specific element-containing layer on a substrate by supplying source gas containing a specific element into a processing container in which the substrate is accommodated; changing the specific element-containing layer into a nitride layer, by activating and supplying gas containing nitrogen into the processing container; and changing the nitride layer into an oxide layer or an oxynitride layer, by activating and supplying gas containing oxygen into the processing container; with this cycle set as one cycle and performed for at least one or more times.
    Type: Grant
    Filed: November 7, 2013
    Date of Patent: November 25, 2014
    Assignee: Hitachi Kokusai Electric Inc.
    Inventors: Naonori Akae, Yoshiro Hirose
  • Publication number: 20140342573
    Abstract: There is provided a method for manufacturing a semiconductor device, including forming a thin film containing a specific element and having a prescribed composition on a substrate by alternately performing the following steps prescribed number of times: forming a first layer containing the specific element, nitrogen, and carbon on the substrate by alternately performing prescribed number of times: supplying a first source gas containing the specific element and a halogen-group to the substrate, and supplying a second source gas containing the specific element and an amino-group to the substrate, and forming a second layer by modifying the first layer by supplying a reactive gas different from each of the source gases, to the substrate.
    Type: Application
    Filed: August 1, 2012
    Publication date: November 20, 2014
    Applicant: HITACHI KOKUSAI ELECTRIC INC.
    Inventors: Yoshiro Hirose, Ryuji Yamamoto
  • Publication number: 20140342574
    Abstract: A pattern formation method for forming a micropattern includes a first step of causing a first pattern-formable area at which a first pattern is to be formed on a liquid-repellent, first film that is formed on a substrate and that has a lyophilic/lyophobic variable function to be lyophilic and to reduce in thickness; a second step of forming a second film having a flat surface on the first film; and a third step of forming the first pattern at the first pattern-formable area by drying the second film.
    Type: Application
    Filed: August 6, 2014
    Publication date: November 20, 2014
    Applicant: FUJIFILM CORPORATION
    Inventor: Kimiaki MIYAMOTO
  • Publication number: 20140342563
    Abstract: A method of forming a pattern on a substrate includes forming spaced first features derived from a first lithographic patterning step. Sidewall spacers are formed on opposing sides of the first features. After forming the sidewall spacers, spaced second features derived from a second lithographic patterning step are formed. At least some of individual of the second features are laterally between and laterally spaced from immediately adjacent of the first features in at least one straight-line vertical cross-section that passes through the first and second features. After the second lithographic patterning step, all of only some of the sidewall spacers in said at least one cross-section is removed.
    Type: Application
    Filed: May 14, 2013
    Publication date: November 20, 2014
    Applicant: Micron Technology, Inc.
    Inventors: Scott L. Light, Kyle Armstrong, Michael D. Hyatt, Vishal Sipani
  • Publication number: 20140327117
    Abstract: The embodiments herein provides methods for forming a PVD silicon oxide or silicon rich oxide, or PVD SiN or silicon rich SiN, or SiC or silicon rich SiC, or combination of the preceding including a variation which includes controlled doping of hydrogen into the compounds heretofore referred to as SiOxNyCz:Hw, where w, x, y, and z can vary in concentration from 0% to 100%, is produced as a hardmask with optical properties that are substantially matched to the photo-resists at the exposure wavelength. Thus making the hardmask optically planarized with respect to the photo-resist. This allows for multiple sequences of litho and etches in the hardmask while the photo-resist maintains essentially no optical topography or reflectivity variations.
    Type: Application
    Filed: May 2, 2014
    Publication date: November 6, 2014
    Applicant: APPLIED MATERIALS, INC.
    Inventors: Christopher Dennis BENCHER, Daniel Lee DIEHL, Huixiong DAI, Yong CAO, Tingjun XU, Weimin (Wilson) ZENG, Peng XIE
  • Publication number: 20140322920
    Abstract: Provided are a deposition apparatus and a method of manufacturing a semiconductor device. In the method, a reaction chamber provided with a gaseous source supply unit and a liquid source supply unit is prepared, and an etch stop layer is formed on a substrate by using a gaseous source. Then, an interlayer insulation layer is formed on the etch stop layer by using a vaporized liquid source and a vaporized dopant source. In this way, the etch stop layer and the interlayer insulation layer are formed in-situ in the same reaction chamber.
    Type: Application
    Filed: July 3, 2014
    Publication date: October 30, 2014
    Inventors: Young Soo KWON, Kyoung Pil NA, Seok Jong HYUN
  • Patent number: 8871627
    Abstract: A semiconductor device includes a semiconductor substrate on which a structure portion is provided except a peripheral portion thereof, and has a laminated structure including low dielectric films and wiring lines, the low dielectric films having a relative dielectric constant of 3.0 or lower and a glass transition temperature of 400° C. or higher. An insulating film is formed on the structure portion. A connection pad portion is arranged on the insulating film and connected to an uppermost wiring line of the laminated structure portion. A bump electrode is provided on the connection pad portion. A sealing film made of an organic resin is provided on a part of the insulating film which surrounds the bump electrode. Side surfaces of the laminated structure portion are covered with the insulating film and/or the sealing film.
    Type: Grant
    Filed: November 15, 2013
    Date of Patent: October 28, 2014
    Assignee: Tera Probe, Inc.
    Inventors: Aiko Mizusawa, Osamu Okada, Takeshi Wakabayashi, Ichiro Mihara
  • Patent number: 8865600
    Abstract: One or more techniques or systems for forming a line end space structure are provided herein. In some embodiments, a first patterned second hard mask (HM) region is formed above a first HM region. In some embodiments, a first sacrificial HM region and a second sacrificial HM region are formed above at least one of the first patterned second HM region or the first HM region. Photo resist (PR) is patterned above the second sacrificial HM region, and a spacer region is deposited above the PR and second sacrificial HM region. In some embodiments, at least some of at least one of the spacer region, the PR, or the respective sacrificial HMs is removed. Accordingly, first patterned second hard mask (HM) region is patterned, thus forming the line end space structure associated with an end-to-end space.
    Type: Grant
    Filed: January 4, 2013
    Date of Patent: October 21, 2014
    Assignee: Taiwan Semiconductor Manufacturing Company Limited
    Inventors: Chia-Ying Lee, Jyu-Horng Shieh
  • Patent number: 8859395
    Abstract: Techniques for processing power transistor devices are provided. In one aspect, the curvature of a power transistor device comprising a device film formed on a substrate is controlled by thinning the substrate, the device having an overall residual stress attributable at least in part to the thinning step, and applying a stress compensation layer to a surface of the device film, the stress compensation layer having a tensile stress sufficient to counterbalance at least a portion of the overall residual stress of the device. The resultant power transistor device may be part of an integrated circuit.
    Type: Grant
    Filed: November 30, 2009
    Date of Patent: October 14, 2014
    Assignee: Agere Systems LLC
    Inventors: Roger A. Fratti, Warren K. Waskiewicz
  • Patent number: 8853099
    Abstract: A nonvolatile resistive memory element has a novel variable resistance layer that includes a metal nitride, a metal oxide-nitride, a two-metal oxide-nitride, or a multilayer stack thereof. One method of forming the novel variable resistance layer comprises an interlayer deposition procedure, in which metal oxide layers are interspersed with metal nitride layers and then converted into a substantially homogeneous layer by an anneal process. Another method of forming the novel variable resistance layer comprises an intralayer deposition procedure, in which various ALD processes are sequentially interleaved to form a metal oxide-nitride layer. Alternatively, a metal oxide is deposited, nitridized, and annealed to form the variable resistance layer or a metal nitride is deposited, oxidized, and annealed to form the variable resistance layer.
    Type: Grant
    Filed: December 16, 2011
    Date of Patent: October 7, 2014
    Assignees: Intermolecular, Inc., Kabushiki Kaisha Toshiba, SanDisk 3D LLC
    Inventors: Yun Wang, Tony P. Chiang, Imran Hashim, Tim Minvielle, Takeshi Yamaguchi
  • Patent number: 8846537
    Abstract: A mold having an open interior volume is used to define patterns. The mold has a ceiling, floor and sidewalls that define the interior volume and inhibit deposition. One end of the mold is open and an opposite end has a sidewall that acts as a seed sidewall. A first material is deposited on the seed sidewall. A second material is deposited on the deposited first material. The deposition of the first and second materials is alternated, thereby forming alternating rows of the first and second materials in the interior volume. The mold and seed layer are subsequently selectively removed. In addition, one of the first or second materials is selectively removed, thereby forming a pattern including free-standing rows of the remaining material. The free-standing rows can be utilized as structures in a final product, e.g., an integrated circuit, or can be used as hard mask structures to pattern an underlying substrate. The mold and rows of material can be formed on multiple levels.
    Type: Grant
    Filed: March 11, 2013
    Date of Patent: September 30, 2014
    Assignee: Micron Technology, Inc.
    Inventor: Gurtej S. Sandhu
  • Patent number: 8846517
    Abstract: A method of forming a pattern on a substrate includes forming longitudinally elongated first lines and first sidewall spacers longitudinally along opposite sides of the first lines elevationally over an underlying substrate. Longitudinally elongated second lines and second sidewall spacers are formed longitudinally along opposite sides of the second lines. The second lines and the second sidewall spacers cross elevationally over the first lines and the first sidewall spacers. The second sidewall spacers are removed from crossing over the first lines. The first and second lines are removed in forming a pattern comprising portions of the first and second sidewall spacers over the underlying substrate. Other methods are disclosed.
    Type: Grant
    Filed: December 19, 2013
    Date of Patent: September 30, 2014
    Assignee: Micron Technology, Inc.
    Inventors: Vishal Sipani, Anton J. deVilliers
  • Patent number: 8846502
    Abstract: Atomic layer deposition (ALD) processes for forming thin films comprising GaN are provided. In some embodiments, ALD processes for forming doped GaN thin films are provided. The thin films may find use, for example, in light-emitting diodes.
    Type: Grant
    Filed: June 29, 2012
    Date of Patent: September 30, 2014
    Assignee: ASM IP Holding B.V.
    Inventors: Suvi Haukka, Viljami J. Pore, Antti Niskanen
  • Patent number: 8850369
    Abstract: A method for optimizing masks used for forming conductive features and a method for creating the mask features on an IC device are disclosed. An exemplary embodiment includes receiving a design database including a plurality of conductive features. First and second features suitable for joining are identified from the plurality of conductive features. A joined feature corresponding to the first and the second features is characterized. A cut shape configured to separate the first and second features from the joined feature is also characterized. The joined feature is categorized into a first conductive mask, the cut shape is categorized into a cut mask, and a third feature is categorized into a second conductive mask. The categorized shapes and features of the first conductive mask, the second conductive mask, and the cut mask are provided for manufacturing a mask set corresponding to the categorized shapes and features.
    Type: Grant
    Filed: April 20, 2012
    Date of Patent: September 30, 2014
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Yuan-Hsiang Lung, Kuei-Shun Chen, Meng-Wei Chen, Chia-Ying Lee
  • Publication number: 20140273476
    Abstract: Methods are disclosed for reducing the number of defects in a directed self-assembled structure formed on a guiding pre-pattern (e.g., a chemical pre-pattern) on a substrate. A first layer comprising a first self-assembly material is applied onto the guiding pre-pattern, with the first self-assembly material forming domains whose alignment and orientation are directed by the guiding pre-pattern; as a result, a first self-assembled structure is formed. The first self-assembled structure is washed away, and a second layer comprising a second self-assembly material is then applied. The second self-assembly material forms a second self-assembled structure having fewer defects than the first self-assembled structure.
    Type: Application
    Filed: March 15, 2013
    Publication date: September 18, 2014
    Applicant: International Business Machines Corporation
    Inventors: Joy Cheng, Daniel P. Sanders, Melia Tjio
  • Publication number: 20140273425
    Abstract: Embodiments include methods of forming dielectric layers. According to an exemplary embodiment, a dielectric layer may be formed by determining a desired thickness of the dielectric layer, forming a first dielectric sub-layer having a thickness less than the desired thickness by depositing a first metal layer above a substrate and oxidizing the first metal layer, and forming n (where n is greater than 1) additional dielectric sub-layers having a thickness less than the desired thickness above the first dielectric sub-layer by the same method of the first dielectric sub-layer so that a combined thickness of all dielectric sub-layers is approximately equal to the desired thickness.
    Type: Application
    Filed: March 18, 2013
    Publication date: September 18, 2014
    Applicants: CANON ANELVA CORPORATION, INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Paul Jamison, Juntao Li, Vamsi Paruchuri, Tuan A. Vo, Takaaki Tsunoda, Sanjay Shinde