In Atmosphere Containing Halogen Patents (Class 438/774)
  • Patent number: 7183143
    Abstract: A method for forming a nitrided tunnel oxide layer is described. A silicon oxide layer as a tunnel oxide layer is formed on a semiconductor substrate, and a plasma nitridation process is performed to implant nitrogen atoms into the silicon oxide layer. A thermal drive-in process is then performed to diffuse the implanted nitrogen atoms across the silicon oxide layer.
    Type: Grant
    Filed: October 27, 2003
    Date of Patent: February 27, 2007
    Assignee: Macronix International Co., Ltd.
    Inventor: Tzu-Yu Wang
  • Patent number: 7169714
    Abstract: A method for forming an oxide layer on a vertical, non-planar semiconductor surface provides a low stress oxide layer having a pristine interface characterized by a roughness of less than 3 angstroms. The oxide layer includes a portion that is substantially amorphous and notably dense. The oxide layer is a graded growth oxide layer including a composite of a first oxide portion formed at a relatively low temperature below the viscoelastic temperature of the oxide film and a second oxide portion formed at a relatively high temperature above the viscoelastic temperature of the oxide film. The process for forming the oxide layer includes thermally oxidizing at a first temperature below the viscoelastic temperature of the film, and slowly ramping up the temperature to a second temperature above the viscoelastic temperature of the film and heating at the second temperature.
    Type: Grant
    Filed: November 12, 2004
    Date of Patent: January 30, 2007
    Assignee: Agere Systems, Inc.
    Inventors: Samir Chaudhry, Pradip K. Roy
  • Patent number: 7148103
    Abstract: Method of manufacturing a semiconductor device, including a first baseline technology electronic circuit (1) and a second option technology electronic circuit (2) as functional parts of a system-on-chip, by: manufacturing the first electronic circuit (1) with a first conductive layer (6; 6) that is patterned by subjecting an exposed layer portion thereof to Reactive Ion Etching (RIE); manufacturing the second electronic circuit (2) with a second conductive layer (6; 8) that is patterned by subjecting an exposed layer portion thereof to RIE; providing a tile structure (25; 26); providing the tile structure (25; 26) with at least one dummy conductive layer (6; 8) produced in the same processing step as the second conductive layer (6; 8); and exposing the dummy conductive layer (6; 8), at least partially, to obtain an exposed dummy layer portion, and RIE-etching of that exposed portion too when the second (6; 8) conductive layer is subjected to RIE.
    Type: Grant
    Filed: October 16, 2002
    Date of Patent: December 12, 2006
    Assignee: Koninklijke Philips Electronics N.V.
    Inventors: Antonius Maria Petrus Johannes Hendriks, Guido Jozef Maria Dormans, Robertus Dominicus Joseph Verhaar
  • Patent number: 7132362
    Abstract: A semiconductor device having a contact hole capable of maintaining contact resistance of a contact connecting multi-layered interconnections with each other and a method for manufacturing the same are provided. An interconnection layer, a capping layer, and an etching stopper are sequentially formed on a semiconductor substrate. An interlayer insulating layer is deposited over the resulting structure. The etching stopper is formed of a material having a high etching selectivity with respect to the interlayer insulating layer. Then a first contact hole is formed to expose the surface of the etching stopper by etching a predetermined portion of the interlayer insulating layer. Either the etching stopper exposed by the first contact hole or the etching stopper exposed by the first contact hole and part of the capping layer are etched to form a second contact hole.
    Type: Grant
    Filed: October 30, 2001
    Date of Patent: November 7, 2006
    Assignee: Samsung Electronics Co., Ltd.
    Inventor: Mun-Mo Jeong
  • Patent number: 7129187
    Abstract: A method for low-temperature plasma-enhanced chemical vapor deposition of a silicon-nitrogen-containing film on a substrate. The method includes providing a substrate in a process chamber, exciting a reactant gas in a remote plasma source, thereafter mixing the excited reactant gas with a silazane precursor gas, and depositing a silicon-nitrogen-containing film on the substrate from the excited gas mixture in a chemical vapor deposition process. In one embodiment of the invention, the reactant gas can contain a nitrogen-containing gas to deposit a SiCNH film. In another embodiment of the invention, the reactant gas can contain an oxygen-containing gas to deposit a SiCNOH film.
    Type: Grant
    Filed: July 14, 2004
    Date of Patent: October 31, 2006
    Assignee: Tokyo Electron Limited
    Inventor: Raymond Joe
  • Patent number: 7119033
    Abstract: Oxidation methods and resulting structures including providing an oxide layer on a substrate and then re-oxidizing the oxide layer by vertical ion bombardment of the oxide layer in an atmosphere containing at least one oxidant. The oxide layer may be provided over diffusion regions, such as source and drain regions, in a substrate. The oxide layer may overlie the substrate and is proximate a gate structure on the substrate. The at least one oxidant may be oxygen, water, ozone, or hydrogen peroxide, or a mixture thereof. These oxidation methods provide a low-temperature oxidation process, less oxidation of the sidewalls of conductive layers in the gate structure, and less current leakage to the substrate from the gate structure.
    Type: Grant
    Filed: June 30, 2004
    Date of Patent: October 10, 2006
    Assignee: Micron Technology, Inc.
    Inventors: Li Li, Pai-Hung Pan
  • Patent number: 7087536
    Abstract: A silicon oxide film is deposited on a substrate disposed in a substrate processing chamber. The substrate has a gap formed between adjacent raised surfaces. A liquid Si—C—O—H precursor is vaporized. A flow of the vaporized liquid Si—C—O—H precursor is provided to the substrate processing chamber. A gaseous oxidizer is also flowed to the substrate processing chamber. A deposition plasma is generated inductively from the precursor and the oxidizer in the substrate processing chamber, and the silicon oxide film is deposited over the substrate and within the gap with the deposition plasma.
    Type: Grant
    Filed: September 1, 2004
    Date of Patent: August 8, 2006
    Assignee: Applied Materials
    Inventors: Srinivas D. Nemani, Young S. Lee
  • Patent number: 6992370
    Abstract: According to one embodiment, a memory cell structure comprises a semiconductor substrate, a first silicon oxide layer situated over the semiconductor substrate, a charge storing layer situated over the first silicon oxide layer, a second silicon oxide layer situated over the charge storing layer, and a gate layer situated over the second silicon oxide layer. In the exemplary embodiment, the charge storing layer comprises silicon nitride having reduced hydrogen content, e.g., in the range of about 0 to 0.5 atomic percent. As a result, the reduced hydrogen content reduces the charge loss in the charge storing layer. The reduced charge loss in the charge storing layer has the benefit of reducing threshold voltage shifts, programming data loss, and programming capability loss in the memory device, thereby improving memory device performance.
    Type: Grant
    Filed: September 4, 2003
    Date of Patent: January 31, 2006
    Assignee: Advanced Micro Devices, Inc.
    Inventors: George J. Kluth, Robert B. Clark-Phelps, Joong S. Jeon, Huicai Zhong, Arvind Halliyal, Mark T. Ramsbey, Robert B. Ogle, Jr., Kuo T. Chang, Wenmei Li
  • Patent number: 6949478
    Abstract: A method of forming an oxide film having high insularity capability is performed within an ultra clean environment, using charged particles.
    Type: Grant
    Filed: April 11, 2002
    Date of Patent: September 27, 2005
    Inventors: Tadahiro Ohmi, Takashi Imaoka, Hisayuki Shimada, Nobuhiro Konishi, Mizuho Morita, Takeo Yamashita, Tadashi Shibata, Hidetoshi Wakamatsu, Jinzo Watanabe, Shintaro Aoyama, Masakazu Nakamura
  • Patent number: 6933248
    Abstract: The instant invention describes a method for forming a dielectric film with a uniform concentration of nitrogen. The films are formed by first incorporating nitrogen into a dielectric film using RPNO. The films are then annealed in N2O which redistributes the incorporated species to produce a uniform nitrogen concentration.
    Type: Grant
    Filed: September 28, 2001
    Date of Patent: August 23, 2005
    Assignee: Texas Instruments Incorporated
    Inventor: Douglas T. Grider
  • Patent number: 6927121
    Abstract: A method for manufacturing an FeRAM capacitor is employed to enhance an adhesive property between a dielectric layer and a first bottom electrode of iridium. The method including the steps of: preparing an active matrix including a semiconductor substrate, a transistor, a bit line, a first ILD, a second ILD and a storage node; forming a first bottom electrode on the second ILD and the storage node; forming a third ILD on exposed surfaces of the first bottom electrode and the second ILD; planarizing the third ILD till a top face of the first bottom electrode is exposed; forming a second bottom electrode on the top face of the bottom electrode; forming conductive oxides on exposed sidewalls of the first bottom electrode by carrying out an oxidation process; forming a dielectric layer on exposed surfaces of the first bottom electrodes, the second bottom electrode and the second ILD; and forming a top electrode on the dielectric layer.
    Type: Grant
    Filed: December 8, 2003
    Date of Patent: August 9, 2005
    Assignee: Hynix Semiconductor Inc.
    Inventors: Sang-Hyun Oh, Young-Ho Yang, Kye-Nam Lee, Suk-Kyoung Hong
  • Patent number: 6914016
    Abstract: A method for forming a silicon oxide layer over a substrate disposed in a high density plasma substrate processing chamber. The method includes flowing a process gas that includes a silicon-containing source, an oxygen-containing source and a fluorine-containing source into the substrate processing chamber and forming a plasma from said process gas. The substrate is heated to a temperature above 450° C. during deposition of said silicon oxide layer and the deposited layer has a fluorine content of less than 1.0 atomic percent.
    Type: Grant
    Filed: January 21, 2004
    Date of Patent: July 5, 2005
    Assignee: Applied Materials, Inc.
    Inventors: Zhengquan Tan, Dongqing Li, Walter Zygmunt
  • Patent number: 6887797
    Abstract: An apparatus and method of forming an oxynitride insulating layer on a substrate performed by putting the substrate at a first temperature within the main chamber of a furnace, exposing the substrate to a nitrogen containing gas at a second temperature which is higher than the first temperature, and growing the oxynitride layer on the substrate within the main chamber in the presence of post-combusted gases. The higher temperature nitrogen containing gases are combusted in a chamber outside the main chamber. The higher temperature is in the range of 800 to 1200° C., and preferably 950° C. In a second embodiment, distributed N2O gas injectors within the main chamber deliver the nitrogen containing gas. The nitrogen containing gas is pre-heated outside the chamber. The nitrogen containing gas is then delivered to a gas manifold that splits the gas flow and directs the gas to a number of gas injectors, preferably two to four injectors within the main process tube.
    Type: Grant
    Filed: July 19, 2002
    Date of Patent: May 3, 2005
    Assignee: International Business Machines Corporation
    Inventors: Douglas A. Buchanan, Evgeni P. Gousev, Carol J. Heenan, Wade J. Hodge, Steven M. Shank, Patrick R. Varekamp
  • Patent number: 6864125
    Abstract: This invention is embodied in an improved process for growing high-quality silicon dioxide layers on silicon by subjecting it to a gaseous mixture of nitrous oxide (N2O) and ozone (O3). The presence of O3 in the oxidizing ambiance greatly enhances the oxidation rate compared to an ambiance in which N2O is the only oxidizing agent. In addition to enhancing the oxidation rate of silicon, it is hypothesized that the presence of O3 interferes with the growth of a thin silicon oxynitride layer near the interface of the silicon dioxide layer and the unreacted silicon surface which makes oxidation in the presence of N2O alone virtually self-limiting. The presence of O3 in the oxidizing ambiance does not impair oxide reliability, as is the case when silicon is oxidized with N2O in the presence of a strong, fluorine-containing oxidizing agent such as NF3 or SF6.
    Type: Grant
    Filed: August 18, 2003
    Date of Patent: March 8, 2005
    Assignee: Micron Technology, Inc.
    Inventors: Gurtej Singh Sandhu, Randhir P S Thakur
  • Publication number: 20040224531
    Abstract: In methods of forming an oxide layer and an oxynitride layer, a substrate is loaded into a reaction chamber having a first pressure and a first temperature. The oxide layer is formed on the substrate using a reaction gas while increasing a temperature of the reaction chamber from the first temperature to a second temperature under a second pressure. Additionally, the oxide layer is nitrified in the reaction chamber to form the oxynitride layer on the substrate. When the oxide layer and/or the oxynitride layer are formed on the substrate, minute patterns of a semiconductor device, for example a DRAM device, an SRAM device or an LOGIC device may be easily formed on the oxide layer or the oxynitride layer.
    Type: Application
    Filed: May 6, 2004
    Publication date: November 11, 2004
    Applicant: Samsung Electronics Co., Ltd.
    Inventors: Young-Sub You, Hun-Hyeoung Leam, Seok-Woo Nam, Bong-Hyun Kim, Woong Lee, Sang-Hoon Lee
  • Patent number: 6808993
    Abstract: An in-situ ultra dilute ammonia nitridation process and apparatus of the following ultra-thin chemically tailored gate dielectrics: DCE/O2 (Trans 1,2-Dichloroethylene) based ultra-thin gate dielectric; Nitric Oxide (NO) based ultra-thin gate dielectric that has been re-oxidized via a DCE/O2 (Trans 1,2-Dichloroethylene) process; “dry-wet” DCE (Trans 1,2-Dichloroethylene)/O2-H2O/O2) based ultra-thin gate dielectric; and ultra dilute, less than 1E-7 moles NH3/mm2, nitridation of an ultra-thin gate dielectric. A vertical diffusion furnace (VDF) is provided to process the same. The ultra-thin chemically tailored gate dielectrics generated in a VDF with ultra-dilute NH3, below 1E-7 moles NH3/mm2, in-situ nitridation show a performance comparable or better to traditional ex-situ rapid thermal anneal (RTA) processing techniques for 90 nm CMOS technology.
    Type: Grant
    Filed: January 8, 2003
    Date of Patent: October 26, 2004
    Assignee: Intel Corporation
    Inventors: Christine M. Finnie, Pauline N. Jacob, Nick Lindert, Keith M. Jackson, Kirk Althoff, Jack Hwang, Jack Kavalieros, James R. Mueller
  • Patent number: 6770538
    Abstract: Oxidation methods and resulting structures including providing an oxide layer on a substrate and then re-oxidizing the oxide layer by vertical ion bombardment of the oxide layer in an atmosphere containing at least one oxidant. The oxide layer may be provided over diffusion regions, such as source and drain regions, in a substrate. The oxide layer may overlie the substrate and is proximate a gate structure on the substrate. The at least one oxidant may be oxygen, water, ozone, or hydrogen peroxide, or a mixture thereof. These oxidation methods provide a low-temperature oxidation process, less oxidation of the sidewalls of conductive layers in the gate structure, and less current leakage to the substrate from the gate structure.
    Type: Grant
    Filed: August 22, 2001
    Date of Patent: August 3, 2004
    Assignee: Micron Technology, Inc.
    Inventors: Li Li, Pai-Hung Pan
  • Publication number: 20040132316
    Abstract: An in-situ ultra dilute ammonia nitridation process and apparatus of the following ultra-thin chemically tailored gate dielectrics: DCE/O2 (Trans 1,2-Dichloroethylene) based ultra-thin gate dielectric; Nitric Oxide (NO) based ultra-thin gate dielectric that has been re-oxidized via a DCE/O2 (Trans 1,2-Dichloroethylene) process; “dry-wet” DCE (Trans 1,2-Dichloroethylene)/O2-H2O/O2) based ultra-thin gate dielectric; and ultra dilute, less than 1E-7 moles NH3/mm2, nitridation of an ultra-thin gate dielectric. A vertical diffusion furnace (VDF) is provided to process the same. The ultra-thin chemically tailored gate dielectrics generated in a VDF with ultra-dilute NH3, below 1E-7 moles NH3/mm2, in-situ nitridation show a performance comparable or better to traditional ex-situ rapid thermal anneal (RTA) processing techniques for 90 nm CMOS technology.
    Type: Application
    Filed: January 8, 2003
    Publication date: July 8, 2004
    Inventors: Christine M. Finnie, Pauline N. Jacob, Nick Lindert, Keith M. Jackson, Kirk Althoff, Jack Hwang, Jack Kavalieros, James R. Mueller
  • Publication number: 20040102052
    Abstract: In a fabrication method of a semiconductor device including a plurality of silicon-based transistors or capacitors, there exist hydrogen at least in a part of a silicon surface in advance, and the hydrogen is removed by exposing the silicon surface to a first inert gas plasma. Thereafter, plasma is generated by a mixed gas of a second inert gas and one or more gaseous molecules, and a silicon compound layer containing at least a part of the elements constituting the gaseous molecules is formed on the surface of the silicon gas.
    Type: Application
    Filed: December 8, 2003
    Publication date: May 27, 2004
    Inventors: Tadahiro Ohmi, Shigetoshi Sugawa, Masaki Hirayama, Yasuyukil Shirai
  • Patent number: 6740601
    Abstract: A method for forming a silicon oxide layer over a substrate disposed in a high density plasma substrate processing chamber. The method includes flowing a process gas that includes a silicon-containing source, an oxygen-containing source and a fluorine-containing source into the substrate processing chamber and forming a plasma from said process gas. The substrate is heated to a temperature above 450° C. during deposition of said silicon oxide layer and the deposited layer has a fluorine content of less than 1.0 atomic percent.
    Type: Grant
    Filed: May 11, 2001
    Date of Patent: May 25, 2004
    Assignee: Applied Materials Inc.
    Inventors: Zhengquan Tan, Dongqing Li, Walter Zygmunt
  • Patent number: 6723662
    Abstract: Methods of forming gate oxide films in integrated circuit devices using wet or dry oxidization processes with a reduced amount of chloride are disclosed. A gate oxide film is formed on a substrate on an active region adjacent to a trench isolation region in a first gas atmosphere with a first amount of chloride. The gate oxide film is annealed in a second gas atmosphere including a second amount of chloride that is greater than the first amount.
    Type: Grant
    Filed: July 30, 2003
    Date of Patent: April 20, 2004
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Kong-soo Lee, Jae-jong Han, Sung-eui Kim
  • Publication number: 20040043628
    Abstract: A method of forming an oxide film and a method of manufacturing an electronic device utilizing the oxide film is disclosed. A silicon oxide film is formed on a substrate by sputtering. Therefore, the film formation is carried out at a low temperature. The sputtering atmosphere comprises an oxidizing gas and an inert gas such as argon. In order to prevent fixed electric charges from being generated in the film and to obtain an oxide film of good properties, the proportion of argon is adjusted to 20% or less. Alternatively, a gas including halogen elements such as fluorine is added to the above sputtering atmosphere at a proportion less than 20%. Hereupon, alkali ions and dangling bonds of silicon in the oxide film are neutralized by the halogen elements, whereby a fine oxide film is obtained.
    Type: Application
    Filed: June 12, 2003
    Publication date: March 4, 2004
    Applicant: SEMICONDUCTOR ENERGY LABORATORY CO., LTD.
    Inventors: Shunpei Yamazaki, Hongyong Zhang
  • Publication number: 20040029398
    Abstract: Methods of forming gate oxide films in integrated circuit devices using wet or dry oxidization processes with a reduced amount of chloride are disclosed. A gate oxide film is formed on a substrate on an active region adjacent to a trench isolation region in a first gas atmosphere with a first amount of chloride. The gate oxide film is annealed in a second gas atmosphere including a second amount of chloride that is greater than the first amount.
    Type: Application
    Filed: July 30, 2003
    Publication date: February 12, 2004
    Inventors: Kong-soo Lee, Jae-jong Han, Sung-eui Kim
  • Patent number: 6645884
    Abstract: The invention provides methods and apparatuses of forming a silicon nitride layer on a semiconductor wafer. A semiconductor wafer is located on a susceptor within a semiconductor processing chamber. A carrier gas, a nitrogen source gas, and a silicon source gas are introduced into the semiconductor processing chamber and a semiconductor wafer is exposed to the mixture of gases at a pressure in the chamber in the range of approximately 100 to 500 Torr.
    Type: Grant
    Filed: July 9, 1999
    Date of Patent: November 11, 2003
    Assignee: Applied Materials, Inc.
    Inventors: Michael X. Yang, Chien-Teh Kao, Karl Littau, Steven A. Chen, Henry Ho, Ying Yu
  • Publication number: 20030207562
    Abstract: In an integrated device, a via is formed in a substrate layer and a barrier layer is formed on the substrate layer in the via. A seed layer is formed on the barrier layer in the via. The seed layer includes a first material and a second material. The first material provides an ability for the second material to maintain an adherence to the barrier layer.
    Type: Application
    Filed: May 3, 2002
    Publication date: November 6, 2003
    Inventors: Richard A. Faust, Qing-Tang Jiang, Jiong-Ping Lu
  • Patent number: 6638877
    Abstract: N2O is used as the oxidant for forming an ultra-thin oxide (14). The low oxidation efficiency of N2O compared to O2 allows the oxidation temperature to be raised to greater than 850° C. while maintaining the growth rate. A cold wall lamp heater rapid thermal process (RTP) tool limits reaction to the surface of the wafer (10). Hydrogen is preferably added to improve the electrical properties of the oxide (14).
    Type: Grant
    Filed: October 4, 2001
    Date of Patent: October 28, 2003
    Assignee: Texas Instruments Incorporated
    Inventor: Antonio L. P. Rotondaro
  • Publication number: 20030181033
    Abstract: A mask and method for contact hole exposure. First, a mask including a transparent substrate, a phase shift layer installed on the transparent substrate to define a series of patterns having contact hole areas set in array, an a plurality of metal lines installed on the phase shift layer between the adjacent contact hole areas is provided. Then, an exposure is performed by transmitting a light source, such as deep ultraviolet (UV), extreme ultraviolet, or X-ray, through the mask after the metal lines absorb high degree diffraction waves.
    Type: Application
    Filed: July 18, 2002
    Publication date: September 25, 2003
    Applicant: NANYA TECHNOLOGY CORPORATION
    Inventor: Yuan-Hsun Wu
  • Publication number: 20030181064
    Abstract: To prevent an abnormal discharge phenomenon on from occurring due to charge build up on a conductive layer region having a large surface area other than the region where a semiconductor device is formed on a semiconductor substrate. The semiconductor substrate structure is made to have an electrical connection between the conductive layer region having a large surface area and the semiconductor substrate.
    Type: Application
    Filed: November 4, 2002
    Publication date: September 25, 2003
    Inventors: Tetsuro Yanai, Hiroaki Kumagai, Takehiro Hirano
  • Patent number: 6624038
    Abstract: A lower electrode of a capacitor which has uneven surface formed by using HSG-Si (hemispherical grained silicon) and which is used, for example, in a semiconductor device such as DRAM device. Such lower electrode is fabricated as follows. An insulating film is formed on a semiconductor substrate, and a silicon film is formed on the insulating film. Then, the silicon film is selectively patterned to pattern it. The semiconductor substrate is heated to remove moisture in the insulating film. An oxide film on the surface of the silicon film is then removed. Thereafter, silicon nuclei are formed on the surface of the silicon film by heating the semiconductor substrate in atmosphere containing silicon compound gas. The silicon nuclei are then grown and thereby a lower electrode is formed which has hemispherical grains on the surface thereof.
    Type: Grant
    Filed: July 19, 2001
    Date of Patent: September 23, 2003
    Assignee: NEC Electronics Corporation
    Inventor: Kazuki Arakawa
  • Patent number: 6610614
    Abstract: A method of forming an ultra-thin dielectric layer, including the steps of: providing a substrate having a semiconductor surface; forming an oxygen-containing layer on the semiconductor surface; exposing the oxygen-containing layer to a nitrogen-containing plasma to create a uniform nitrogen distribution throughout the oxygen-containing layer; and re-oxidizing and annealing the layer to stabilize the nitrogen distribution, heal plasma-induced damage, and reduce interfacial defect density.
    Type: Grant
    Filed: June 20, 2001
    Date of Patent: August 26, 2003
    Assignee: Texas Instruments Incorporated
    Inventors: Hiroaki Niimi, Sunil Hattangady, Rajesh Khamankar
  • Patent number: 6607946
    Abstract: This invention is embodied in an improved process for growing high-quality silicon dioxide layers on silicon by subjecting it to a gaseous mixture of nitrous oxide (N2O) and ozone (O3). The presence of O3 in the oxidizing ambiance greatly enhances the oxidation rate compared to an ambiance in which N2O is the only oxidizing agent. In addition to enhancing the oxidation rate of silicon, it is hypothesized that the presence of O3 interferes with the growth of a thin silicon oxynitride layer near the interface of the silicon dioxide layer and the unreacted silicon surface which makes oxidation in the presence of N2O alone virtually self-limiting. The presence of N2O in the oxidizing ambiance does not impair oxide reliability, as is the case when silicon is oxidized with N2O in the presence of a strong, fluorine-containing oxidizing agent such as NF3 or SF6.
    Type: Grant
    Filed: April 13, 1998
    Date of Patent: August 19, 2003
    Assignee: Micron Technology, Inc.
    Inventors: Gurtej Singh Sandhu, Randhir PS Thakur
  • Patent number: 6596650
    Abstract: A method for fabricating a semiconductor integrated circuit device of the invention comprises feeding oxidation species containing a low concentration of water, which is generated from hydrogen and oxygen by the catalytic action, to the main surface of or in the vicinity of a semiconductor wafer, and forming a thin oxide film serving as a gate insulating film of an MOS transistor and having a thickness of 5 nm or below on the main surface of the semiconductor wafer at an oxide film-growing rate sufficient to ensure fidelity in formation of an oxide film and uniformity in thickness of the oxide film.
    Type: Grant
    Filed: August 28, 2001
    Date of Patent: July 22, 2003
    Assignee: Hitachi, Ltd.
    Inventors: Yoshikazu Tanabe, Satoshi Sakai, Nobuyoshi Natsuaki
  • Patent number: 6586346
    Abstract: A method of forming an oxide film and a method of manufacturing an electronic device utilizing the oxide film is disclosed. A silicon oxide film is formed on a substrate by sputtering. Therefore, the film formation is carried out at a low temperature. The sputtering atmosphere comprises an oxidizing gas and an inert gas such as argon. In order to prevent fixed electric charges from being generated in the film and to obtain an oxide film of good properties, the proportion of argon is adjusted to 20% or less. Alternatively, a gas including halogen elements such as fluorine is added to the above sputtering atmosphere at a proportion less than 20%. Hereupon, alkali ions and dangling bonds of silicon in the oxide film are neutralized by the halogen elements, whereby a fine oxide film is obtained.
    Type: Grant
    Filed: October 26, 1992
    Date of Patent: July 1, 2003
    Inventors: Shunpei Yamazaki, Hongyong Zhang
  • Publication number: 20030119308
    Abstract: A sloped via contact is used to connect a contact on the front side of a wafer to a contact on the back side of the wafer. The walls of a small (less than 50-80 microns wide) via have typically been difficult to coat with metal. The present invention forms a small via with sloped walls, allowing easy access to the inside walls of the via for metal sputtering or plating. The small via can be formed using a dry etch process such as the well-known deep reactive ion etching (DRIE) process. Using any isotropic plasma etch process, the walls of the via are further etched from the wafer backside to create sloped walls in the via. The via is then coated with metal to make it conductive.
    Type: Application
    Filed: December 20, 2001
    Publication date: June 26, 2003
    Inventors: Frank S. Geefay, Qing Gan
  • Patent number: 6569780
    Abstract: A method for fabricating a semiconductor integrated circuit device of the invention comprises feeding oxidation species containing a low concentration of water, which is generated from hydrogen and oxygen by the catalytic action, to the main surface of or in the vicinity of a semiconductor wafer, and forming a thin oxide film serving as a gate insulating film of an MOS transistor and having a thickness of 5 nm or below on the main surface of the semiconductor wafer at an oxide film-growing rate sufficient to ensure fidelity in formation of an oxide film and uniformity in thickness of the oxide film.
    Type: Grant
    Filed: August 28, 2001
    Date of Patent: May 27, 2003
    Assignee: Hitachi, Ltd.
    Inventors: Yoshikazu Tanabe, Satoshi Sakai, Nobuyoshi Natsuaki
  • Patent number: 6541393
    Abstract: A semiconductor device is fabricated by a method comprising the steps of: selectively introducing a halogen element or argon into a device region 14 of a silicon substrate 10; and wet oxidizing the silicon substrate 10 in an ambient atmosphere which an H2O partial pressure is less than 1 atm to thereby form a silicon oxide film 22 in the device region 14 of the silicon substrate 10, and a silicon oxide film 24 thinner than the silicon oxide film 22 in a device region 16 of the silicon substrate 10. Whereby the silicon oxide film in a device region 14 with the halogen element or argon introduced can be selectively formed thick. The silicon oxide films are formed by the wet oxidation, whereby the gate insulation films can be more reliable than those formed by the dry oxidation.
    Type: Grant
    Filed: February 9, 2001
    Date of Patent: April 1, 2003
    Assignee: Fujitsu Limited
    Inventors: Taro Sugizaki, Toshiro Nakanishi, Kyoichi Suguro, Atsushi Murakoshi
  • Patent number: 6514879
    Abstract: A configuration of various chemical compound generators coupled to a furnace provides the environment for formation of extremely thin oxides of silicon on a wafer. Dichloroethylene is reacted with oxygen in a first heated reaction chamber and reaction products therefrom are diluted with a gas such as nitrogen and then introduced into a vertically oriented furnace maintained at an elevated temperature and having rotating wafers therein. Hydrogen and oxygen are catalytically reacted to form steam in a second heated reaction chamber, the steam is diluted with a gas such as nitrogen and introduced into the vertical diffusion furnace. In a further aspect of the present invention, MOSFETs having gate dielectric layers of extremely thin oxides of silicon are formed.
    Type: Grant
    Filed: December 17, 1999
    Date of Patent: February 4, 2003
    Assignee: Intel Corporation
    Inventors: Reza Arghavani, Robert Chau, Ron Dalesky
  • Publication number: 20020187651
    Abstract: A technique for controlling the oxidation of silicon is achieved by applying low temperature ammonia prior to the oxidation. The result is that the subsequent oxidation of the silicon is at a slower oxidation rate and higher nitrogen content. The higher nitrogen content is particularly beneficial for a gate dielectric because it acts as somewhat of a boron barrier and provides additional resistance to unwanted oxidation. The result is transistors with improved gate dielectric thickness uniformity across a wafer for a tighter threshold voltage distribution, reduced shift in threshold voltage, and improved time to breakdown.
    Type: Application
    Filed: June 11, 2001
    Publication date: December 12, 2002
    Inventors: Kimberly G. Reid, Hsing-Huang Tseng, Julie C.H. Chang, John R. Alvis
  • Publication number: 20020155694
    Abstract: A method is provided for forming a copper interconnect, the method including forming a sacrificial dielectric layer above a structure layer, forming an opening in the sacrificial dielectric layer and forming a copper layer above the sacrificial dielectric layer and in the opening. The method also includes forming the copper interconnect by removing portions of the copper layer above the sacrificial dielectric layer, leaving the copper interconnect in the opening. The method further includes removing the sacrificial dielectric layer above the structure and adjacent the copper interconnect, and forming a low dielectric constant dielectric layer above the structure and adjacent the copper interconnect.
    Type: Application
    Filed: October 29, 2001
    Publication date: October 24, 2002
    Applicant: Advanced Micro Devices, Inc.
    Inventor: Stephen Keetai Park
  • Patent number: 6448651
    Abstract: Provided is a semiconductor device having a multi-level metallization. The device includes a semiconductor substrate having an active area, a first insulating layer deposited on the substrate, and first and second contact holes penetrating the first insulating layer exposing a predetermined surface of the active area. First and second conductive plugs are formed in the first and second contact holes, respectively. First and second conductive patterns are spaced a predetermined distance on both sides of the second conductive plug. The first conductive pattern is connected to the first conductive plug. An etching prevention layer and a second insulating layer are sequentially formed on the resultant structure. A third contact hole penetrates the second insulating layer and the etching prevention layer exposes a predetermined surface of the first conductive pattern. A fourth contact hole penetrates the second insulating layer and the etching prevention layer to expose the surface of the second conductive plug.
    Type: Grant
    Filed: September 15, 1999
    Date of Patent: September 10, 2002
    Assignee: Samsung Electronics Co., Ltd.
    Inventor: Sung-Bong Kim
  • Patent number: 6444593
    Abstract: A method for using low dielectric SiOF in a process to manufacture semiconductor products, comprising the steps of obtaining a layer of SiOF, and depleting fluorine from a surface of the SiOF layer. In a preferred embodiment, the depleting step comprises the step of treating the surface of the layer of SiOF with a plasma containing ammonia. It is further preferred that the treated surface be passivated by a nitrite plasma. The invention also encompasses a semiconductor chip comprising an integrated circuit with at least a first and second layers, and with a dielective layer of SiOF disposed between the layers, wherein the SiOF dielectric layer includes a first region at one edge thereof which depleted of fluorine to a predetermined depth.
    Type: Grant
    Filed: August 12, 1999
    Date of Patent: September 3, 2002
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Minh Van Ngo, Richard J. Huang, Guarionex Morales
  • Patent number: 6436196
    Abstract: An apparatus and method of forming an oxynitride insulating layer on a substrate performed by putting the substrate at a first temperature within the main chamber of a furnace, exposing the substrate to a nitrogen containing gas at a second temperature which is higher than the first temperature, and growing the oxynitride layer on the substrate within the main chamber in the presence of post-combusted gases. The higher temperature nitrogen containing gases are combusted in a chamber outside the main chamber. The higher temperature is in the range of 800 to 1200° C., and preferably 950° C. In a second embodiment, distributed N2O gas injectors within the main chamber deliver the nitrogen containing gas. The nitrogen containing gas is pre-heated outside the chamber. The nitrogen containing gas is then delivered to a gas manifold that splits the gas flow and directs the gas to a number of gas injectors, preferably two to four injectors within the main process tube.
    Type: Grant
    Filed: June 22, 2001
    Date of Patent: August 20, 2002
    Assignee: International Business Machines Corporation
    Inventors: Douglas A. Buchanan, Evgeni P. Gousev, Carol J. Heenan, Wade J. Hodge, Steven M. Shank, Patrick R. Varekamp
  • Publication number: 20020098679
    Abstract: In order to fabricate a metallization plane with lines and contacts, four dielectric layers are applied to a substrate. Firstly, contact holes are etched through the top two dielectric layers into the underlying dielectric layer, the remaining thickness of the latter layer being essentially equal to the thickness of the top layer. Line trenches are subsequently etched selectively with respect to the first dielectric layer and the third dielectric layer, whose surfaces are uncovered essentially simultaneously. After the first dielectric layer and the third dielectric layer have been patterned, contacts and lines are produced in the contact holes and line trenches.
    Type: Application
    Filed: December 5, 2001
    Publication date: July 25, 2002
    Inventors: Siegfried Schwarzl, Manfred Engelhardt, Franz Kreupl
  • Patent number: 6407008
    Abstract: Methods for forming nitrided oxides in semiconductor devices by rapid thermal oxidation, in which a semiconductor substrate having an exposed silicon surface is placed into a thermal process chamber. Then, an ambient gas comprising N2O and an inert gas such as argon or N2 is introduced into the process chamber. Next, the silicon surface is heated to a predefined process temperature, thereby oxidizing at least a portion of the silicon surface. Finally, the semiconductor substrate is cooled. An ultra-thin oxide layer with uniform oxide characteristics, such as more boron penetration resistance, good oxide composition and thickness uniformity, increased charge to breakdown voltage in the oxide layer, can be formed.
    Type: Grant
    Filed: May 5, 2000
    Date of Patent: June 18, 2002
    Assignee: Integrated Device Technology, Inc.
    Inventors: Yingbo Jia, Ohm-Guo Pan, Long-Ching Wang, Jeong Yeol Choi, Guo-Qiang (Patrick) Lo, Shih-Ked Lee
  • Publication number: 20020058424
    Abstract: The instant invention is a method for forming a smooth interface between the upper surface of a silicon substrate and a dielectric layer. The invention comprises forming a thin amorphous region (180) on the upper surface (170) of a silicon substrate prior to forming the dielectric layer on the upper silicon surface.
    Type: Application
    Filed: November 1, 2001
    Publication date: May 16, 2002
    Inventor: Antonio L. P. Rotondaro
  • Patent number: 6387827
    Abstract: A method of growing a silicon oxide layer on a silicon substrate by means of a thermal oxidation in a furnace in the presence of a gaseous mixture, said mixture comprising oxygen and Cl2, said Cl2 being generated by an organic chlorine-carbon source, particularly oxalyl chloride. This method is directed to the growth of (ultra) thin silicon oxides and/or the cleaning of a substrate using a low oxidation power. Consequently the method disclosed is especially suited for temperature below 700° C. and for oxidation ambients containing only small amounts of oxygen.
    Type: Grant
    Filed: November 26, 1997
    Date of Patent: May 14, 2002
    Assignees: Imec (vzw), ASM International, Olin
    Inventors: Paul Mertens, Michael McGeary, Hessel Sprey, Karine Kenis, Marc Schaekers, Marc Heyns
  • Patent number: 6387823
    Abstract: A method for controlling a deposition process, includes providing a wafer in a chamber of a deposition tool, the deposition tool being adapted to operate in accordance with a recipe; providing reactant gases to the chamber, the reactant gases reacting to form a layer on the wafer; allowing exhaust gases to exit the chamber; measuring characteristics of exhaust gases; and changing the recipe based on the characteristics of the exhaust gases. A deposition tool includes a chamber, a gas supply line, a gas exhaust line, a gas analyzer, and a controller. The chamber is adapted to receive a wafer. The gas supply line is coupled to the chamber for providing reactive gases. The gas exhaust line is coupled to the chamber for receiving exhaust gases. The gas analyzer is coupled to the gas exhaust line and adapted to determine characteristics of the exhaust gases. The controller is adapted to control the processing of the wafer in the chamber based on the characteristics of the exhaust gases.
    Type: Grant
    Filed: May 23, 2000
    Date of Patent: May 14, 2002
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Thomas Sonderman, Anthony J. Toprac
  • Patent number: 6380103
    Abstract: At least both a rapid thermal etch step and a rapid thermal oxidation step are performed on a semiconductor substrate in situ in a rapid thermal processor. A method including an oxidation step followed by an etch step may be used to remove contamination and damage from a substrate. A method including a first etch step followed by an oxidation step and a second etch step may likewise be used to remove contamination and damage, and a final oxidation step may optionally be included to grow an oxide film. A method including an etch step followed by an oxidation step may also be used to grow an oxide film. Repeated alternate in situ oxidation and etch steps may be used until a desired removal of contamination or silicon damage is accomplished.
    Type: Grant
    Filed: February 26, 2001
    Date of Patent: April 30, 2002
    Assignee: Micron Technology, Inc.
    Inventors: Fernando Gonzalez, Randhir P. S. Thakur
  • Patent number: 6372667
    Abstract: A method of manufacturing a capacitor for semiconductor memory devices is disclosed. According to the present invention, a lower electrode is formed on the semiconductor substrate. A Ta2O5 layer with a tantalum-based carbon-free precursor is formed on the lower electrode. And, an upper electrode is formed on the Ta2O5 layer.
    Type: Grant
    Filed: June 23, 2000
    Date of Patent: April 16, 2002
    Assignee: Hyundai Electronics Industries Co., Ltd.
    Inventor: Kee Jeung Lee
  • Patent number: RE38674
    Abstract: A novel process for forming a robust, sub-100 Å oxide is disclosed. Native oxide growth is tightly controlled by flowing pure nitrogen during wafer push and nitrogen with a small amount of oxygen during temperature ramp and stabilization. First, a dry oxidation is performed in oxygen and 13% trichloroethane. Next, a wet oxidation in pyrogenic steam is performed to produce a total oxide thickness of approximately 80 Å. The oxide layer formed is ideally suited for use as a high integrity gate oxide below 100 Å. The invention is particularly useful in devices with advanced, recessed field isolation where sharp silicon edges are difficult to oxidize. For an oxide layer of more than 100 Å, a composite oxide stack is used which comprises 40-90 Å of pad oxide formed using the above novel process, and 60-200 Å of deposited oxide.
    Type: Grant
    Filed: September 14, 1995
    Date of Patent: December 21, 2004
    Assignee: Intel Corporation
    Inventors: Robert S. K. Chau, William L. Hargrove, Leopoldo D. Yau