Organic Reactant Patents (Class 438/793)
  • Patent number: 11965239
    Abstract: Provided is improved methodology for the nucleation of certain metal nitride substrate surfaces utilizing certain silicon-containing halides, silicon-containing amides, and certain metal precursors, in conjunction with nitrogen-containing reducing gases. While utilizing a pretreatment step, the methodology shows greatly improved nucleation wherein a microelectronic device substrate having such a metal nitride film deposited thereon has a thickness of about 10 ? to about 15 ? and less than about 1% of void area. Once such nucleation has been achieved, traditional layer-upon-layer deposition can rapidly take place.
    Type: Grant
    Filed: January 28, 2021
    Date of Patent: April 23, 2024
    Assignee: ENTEGRIS, INC.
    Inventors: Gavin Richards, Thomas H. Baum, Han Wang, Bryan C. Hendrix
  • Patent number: 10964532
    Abstract: Methods of forming silicon nitride. Silicon nitride is formed on a substrate by atomic layer deposition at a temperature of less than or equal to about 275° C. The as-formed silicon nitride is exposed to a plasma. The silicon nitride may be formed as a portion of silicon nitride and at least one other portion of silicon nitride. The portion of silicon nitride and the at least one other portion of silicon nitride may be exposed to a plasma treatment. Methods of forming a semiconductor structure are also disclosed, as are semiconductor structures and silicon precursors.
    Type: Grant
    Filed: December 29, 2017
    Date of Patent: March 30, 2021
    Assignee: Micron Technology, Inc.
    Inventors: Sumeet C. Pandey, Brenda D. Kraus, Stefan Uhlenbrock, John A. Smythe, Timothy A. Quick
  • Patent number: 10636648
    Abstract: A film deposition method for depositing a silicon nitride film of selectively depositing on a flat surface of a substrate between minute recesses including a chlorine radical adsorbing step of supplying a chlorine containing gas that is activated onto a front surface of the substrate to cause the chlorine radical to be adsorbed entirely on the front surface of the substrate, a nitriding step of supplying a nitriding gas that is activated onto the substrate on which the chlorine radical adsorbs, causing the chlorine radical adsorbing on the flat surface, and nitride the flat surface from among the front surface of the substrate so as to form a silicon adsorption site, and a raw gas adsorbing step of supplying a raw gas that contains silicon and chlorine onto the substrate so as to cause the raw gas to adsorb onto the silicon adsorption site.
    Type: Grant
    Filed: November 21, 2018
    Date of Patent: April 28, 2020
    Assignee: Tokyo Electron Limited
    Inventors: Kazumi Kubo, Yutaka Takahashi, Hitoshi Kato
  • Publication number: 20150147871
    Abstract: Described herein are precursors and methods for forming silicon-containing films.
    Type: Application
    Filed: June 2, 2014
    Publication date: May 28, 2015
    Applicant: AIR PRODUCTS AND CHEMICALS, INC.
    Inventors: Manchao Xiao, Xinjian Lei, Daniel P. Spence
  • Publication number: 20150147893
    Abstract: Classes of liquid aminosilanes have been found which allow for the production of silicon carbo-nitride films of the general formula SixCyNz. These aminosilanes, in contrast, to some of the precursors employed heretofore, are liquid at room temperature and pressure allowing for convenient handling. In addition, the invention relates to a process for producing such films. The classes of compounds are generally represented by the formulas: and mixtures thereof, wherein R and R1 in the formulas represent aliphatic groups typically having from 2 to about 10 carbon atoms, e.g., alkyl, cycloalkyl with R and R1 in formula A also being combinable into a cyclic group, and R2 representing a single bond, (CH2)n, a ring, or SiH2.
    Type: Application
    Filed: December 16, 2014
    Publication date: May 28, 2015
    Applicant: AIR PRODUCTS AND CHEMICALS, INC.
    Inventors: Manchao Xiao, Arthur Kenneth Hochberg
  • Patent number: 9029171
    Abstract: The present disclosure relates to a structure and method to create a self-repairing dielectric material for semiconductor device applications. A porous dielectric material is deposited on a substrate, and exposed with treating agent particles such that the treating agent particles diffuse into the dielectric material. A dense non-porous cap is formed above the dielectric material which encapsulates the treating agent particles within the dielectric material. The dielectric material is then subjected to a process which creates damage to the dielectric material. A chemical reaction is initiated between the treating agent particles and the damage, repairing the damage. A gradient concentration resulting from the consumption of treating agent particles by the chemical reaction promotes continuous diffusion the treating agent particles towards the damaged region of the dielectric material, continuously repairing the damage.
    Type: Grant
    Filed: June 25, 2012
    Date of Patent: May 12, 2015
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Tsung-Min Huang, Chung-Ju Lee, Tien-I Bao
  • Publication number: 20150126045
    Abstract: Embodiments of the present invention generally provide methods for forming a silicon nitride layer on a substrate. In one embodiment, a method of forming a silicon nitride layer using remote plasma chemical vapor deposition (CVD) at a temperature that is less than 300 degrees Celsius is disclosed. The precursors for the remote plasma CVD process include tris(dimethylamino)silane (TRIS), dichlorosilane (DCS), trisilylamine (TSA), bis-t-butylaminosilane (BTBAS), hexachlorodisilane (HCDS) or hexamethylcyclotrisilazane (HMCTZ).
    Type: Application
    Filed: October 22, 2014
    Publication date: May 7, 2015
    Inventors: Amit CHATTERJEE, Abhijit Basu MALLICK, Nitin K. INGLE
  • Patent number: 9023700
    Abstract: Methods and apparatus for selective one-step nitridation of semiconductor substrates is provided. Nitrogen is selectively incorporated in silicon regions of a semiconductor substrate having silicon regions and silicon oxide regions by use of a selective nitridation process. Nitrogen containing radicals may be directed toward the substrate by forming a nitrogen containing plasma and filtering or removing ions from the plasma, or a thermal nitridation process using selective precursors may be performed. A remote plasma generator may be coupled to a processing chamber, optionally including one or more ion filters, showerheads, and radical distributors, or an in situ plasma may be generated and one or more ion filters or shields disposed in the chamber between the plasma generation zone and the substrate support.
    Type: Grant
    Filed: June 9, 2014
    Date of Patent: May 5, 2015
    Assignee: Applied Materials, Inc.
    Inventors: Udayan Ganguly, Theresa Kramer Guarini, Matthew Scott Rogers, Yoshitaka Yokota, Johanes S. Swenberg, Malcolm J. Bevan
  • Publication number: 20150099375
    Abstract: Described herein are methods for forming silicon nitride films. In one aspect, there is provided a method of forming a silicon nitride film comprising the steps of: providing a substrate in a reactor; introducing into the reactor an at least one organoaminosilane having a least one SiH3 group described herein wherein the at least one organoaminosilane reacts on at least a portion of the surface of the substrate to provide a chemisorbed layer; purging the reactor with a purge gas; introducing a plasma comprising nitrogen and an inert gas into the reactor to react with at least a portion of the chemisorbed layer and provide at least one reactive site wherein the plasma is generated at a power density ranging from about 0.01 to about 1.5 W/cm2.
    Type: Application
    Filed: September 26, 2014
    Publication date: April 9, 2015
    Applicant: AIR PRODUCTS AND CHEMICALS, INC.
    Inventors: Chandra Haripin, Anupama Mallikarjunan, Xinjian Lei, Moo-Sung Kim, Kirk Scott Cuthill, Mark Leonard O'Neill
  • Publication number: 20150087139
    Abstract: Described herein are precursors and methods for forming silicon-containing films. In one aspect, the precursor comprises a compound represented by one of following Formulae A through E below: In one particular embodiment, the organoaminosilane precursors are effective for a low temperature (e.g., 350° C. or less), atomic layer deposition (ALD) or plasma enhanced atomic layer deposition (PEALD) of a silicon-containing film. In addition, described herein is a composition comprising an organoaminosilane described herein wherein the organoaminosilane is substantially free of at least one selected from the amines, halides (e.g., Cl, F, I, Br), higher molecular weight species, and trace metals.
    Type: Application
    Filed: September 11, 2014
    Publication date: March 26, 2015
    Applicant: AIR PRODUCTS AND CHEMICALS, INC.
    Inventors: Mark Leonard O'Neill, Manchao Xiao, Xinjian Lei, Richard Ho, Haripin Chandra, Matthew R. MacDonald, Meiliang Wang
  • Patent number: 8940648
    Abstract: A method for depositing a silicon containing film on a substrate using an organoaminosilane is described herein. The organoaminosilanes are represented by the formulas: wherein R is selected from a C1-C10 linear, branched, or cyclic, saturated or unsaturated alkyl group with or without substituents; a C5-C10 aromatic group with or without substituents, a C3-C10 heterocyclic group with or without substituents, or a silyl group in formula C with or without substituents, R1 is selected from a C3-C10 linear, branched, cyclic, saturated or unsaturated alkyl group with or without substituents; a C6-C10 aromatic group with or without substituents, a C3-C10 heterocyclic group with or without substituents, a hydrogen atom, a silyl group with substituents and wherein R and R1 in formula A can be combined into a cyclic group and R2 representing a single bond, (CH2), chain, a ring, C3-C10 branched alkyl, SiR2, or SiH2.
    Type: Grant
    Filed: August 12, 2013
    Date of Patent: January 27, 2015
    Assignee: Air Products and Chemicals, Inc.
    Inventors: Manchao Xiao, Xinjian Lei, Heather Regina Bowen, Mark Leonard O'Neill
  • Patent number: 8889566
    Abstract: A method of forming a dielectric layer is described. The method deposits a silicon-containing film by chemical vapor deposition using a local plasma. The silicon-containing film is flowable during deposition at low substrate temperature. A silicon precursor (e.g. a silylamine, higher order silane or halogenated silane) is delivered to the substrate processing region and excited in a local plasma. A second plasma vapor or gas is combined with the silicon precursor in the substrate processing region and may include ammonia, nitrogen (N2), argon, hydrogen (H2) and/or oxygen (O2). The equipment configurations disclosed herein in combination with these vapor/gas combinations have been found to result in flowable deposition at substrate temperatures below or about 200° C. when a local plasma is excited using relatively low power.
    Type: Grant
    Filed: November 5, 2012
    Date of Patent: November 18, 2014
    Assignee: Applied Materials, Inc.
    Inventors: Amit Chatterjee, Abhijit Basu Mallick, Nitin K. Ingle, Brian Underwood, Kiran V. Thadani, Xiaolin Chen, Abhishek Dube, Jingmei Liang
  • Publication number: 20140273531
    Abstract: Methods and precursors for depositing silicon nitride films by atomic layer deposition (ALD) are provided. In some embodiments the silicon precursors comprise an iodine ligand. The silicon nitride films may have a relatively uniform etch rate for both vertical and the horizontal portions when deposited onto three-dimensional structures such as FinFETS or other types of multiple gate FETs. In some embodiments, various silicon nitride films of the present disclosure have an etch rate of less than half the thermal oxide removal rate with diluted HF (0.5%).
    Type: Application
    Filed: October 24, 2013
    Publication date: September 18, 2014
    Applicant: ASM IP HOLDING B.V.
    Inventors: Antti J. Niskanen, Shang Chen, Viljami Pore, Atsuki Fukazawa, Hideaki Fukuda
  • Publication number: 20140273477
    Abstract: Methods and precursors for depositing silicon nitride films by atomic layer deposition (ALD) are provided. In some embodiments the silicon precursors comprise an iodine ligand. The silicon nitride films may have a relatively uniform etch rate for both vertical and the horizontal portions when deposited onto three-dimensional structures such as FinFETS or other types of multiple gate FETs. In some embodiments, various silicon nitride films of the present disclosure have an etch rate of less than half the thermal oxide removal rate with diluted HF (0.5%).
    Type: Application
    Filed: January 29, 2014
    Publication date: September 18, 2014
    Applicant: ASM IP HOLDING B.V.
    Inventors: Antti J. Niskanen, Shang Chen, Viljami Pore, Atsuki Fukazawa, Hideaki Fukuda, Suvi P. Haukka
  • Publication number: 20140073144
    Abstract: A method of forming a dielectric layer is described. The method deposits a silicon-containing film by chemical vapor deposition using a local plasma. The silicon-containing film is flowable during deposition at low substrate temperature. A silicon precursor (e.g. a silylamine, higher order silane or halogenated silane) is delivered to the substrate processing region and excited in a local plasma. A second plasma vapor or gas is combined with the silicon precursor in the substrate processing region and may include ammonia, nitrogen (N2), argon, hydrogen (H2) and/or oxygen (O2). The equipment configurations disclosed herein in combination with these vapor/gas combinations have been found to result in flowable deposition at substrate temperatures below or about 200° C. when a local plasma is excited using relatively low power.
    Type: Application
    Filed: November 5, 2012
    Publication date: March 13, 2014
    Inventors: Amit Chatterjee, Abhijit Basu Mallick, Nitin K. Ingle, Brian Underwood, Kiran V. Thadani, Xiaolin Chen, Abhishek Dube, Jingmei Liang
  • Publication number: 20140065844
    Abstract: The present invention is a method to increase the intrinsic compressive stress in plasma enhanced chemical vapor deposition (PECVD) silicon nitride (SiN) and silicon carbonitride (SiCN) thin films, comprising depositing the film from an amino vinylsilane-based precursor. More specifically the present invention uses the amino vinylsilane-based precursor selected from the formula: [RR1N]xSiR3y(R2)z, where x+y+z=4, x=1-3, y=0-2, and z=1-3; R, R1 and R3 can be hydrogen, C1 to C10 alkane, alkene, or C4 to C12 aromatic; each R2 is a vinyl, allyl or vinyl-containing functional group.
    Type: Application
    Filed: November 4, 2013
    Publication date: March 6, 2014
    Inventors: Vasil Vorsa, Andrew David Johnson, Manchao Xiao
  • Patent number: 8637396
    Abstract: A method is provided for depositing a dielectric barrier film including a precursor with silicon, carbon, oxygen, and hydrogen with improved barrier dielectric properties including lower dielectric constant and superior electrical properties. This method will be important for barrier layers used in a damascene or dual damascene integration for interconnect structures or in other dielectric barrier applications. In this example, specific structural properties are noted that improve the barrier performance.
    Type: Grant
    Filed: November 23, 2009
    Date of Patent: January 28, 2014
    Assignee: Air Products and Chemicals, Inc.
    Inventors: Laura M. Matz, Raymond Nicholas Vrtis, Mark Leonard O'Neill, Dino Sinatore
  • Publication number: 20130319290
    Abstract: Described herein are precursors and methods for forming silicon-containing films. In one aspect, there is a precursor of following Formula I: wherein R1 and R3 are independently selected from linear or branched C3 to C10 alkyl group, a linear or branched C3 to C10 alkenyl group, a linear or branched C3 to C10 alkynyl group, a C1 to C6 dialkylamino group, an electron withdrawing and a C6 to C10 aryl group; R2 and R4 are independently selected from hydrogen, a linear or branched C3 to C10 alkyl group, a linear or branched C3 to C10 alkenyl group, a linear or branched C3 to C10 alkynyl group, a C1 to C6 dialkylamino group, an electron withdrawing, and a C6 to C10 aryl group; and wherein any one, all, or none of R1 and R2, R3 and R4, R1 and R3, or R2 and R4 are linked to form a ring.
    Type: Application
    Filed: May 24, 2013
    Publication date: December 5, 2013
    Applicant: AIR PRODUCTS AND CHEMICALS, INC.
    Inventors: Manchao Xiao, Xinjian Lei, Daniel P. Spence, Haripin Chandra, Mark Leonard O'Neill
  • Patent number: 8580697
    Abstract: The present invention meets these needs by providing improved methods of filling gaps. In certain embodiments, the methods involve placing a substrate into a reaction chamber and introducing a vapor phase silicon-containing compound and oxidant into the chamber. Reactor conditions are controlled so that the silicon-containing compound and the oxidant are made to react and condense onto the substrate. The chemical reaction causes the formation of a flowable film, in some instances containing Si—OH, Si—H and Si—O bonds. The flowable film fills gaps on the substrates. The flowable film is then converted into a silicon oxide film, for example by plasma or thermal annealing. The methods of this invention may be used to fill high aspect ratio gaps, including gaps having aspect ratios ranging from 3:1 to 10:1.
    Type: Grant
    Filed: February 18, 2011
    Date of Patent: November 12, 2013
    Assignee: Novellus Systems, Inc.
    Inventors: Chi-I Lang, Judy H. Huang, Michael Barnes, Sunil Shanker
  • Patent number: 8563443
    Abstract: A method of forming a dielectric film having at least Si—N, Si—C, or Si—B bonds on a semiconductor substrate by atomic layer deposition (ALD), includes: supplying a precursor in a pulse to adsorb the precursor on a surface of a substrate; supplying a reactant gas in a pulse over the surface without overlapping the supply of the precursor; reacting the precursor and the reactant gas on the surface; and repeating the above steps to form a dielectric film having at least Si—N, Si—C, or Si—B bonds on the substrate. The precursor has at least one Si—C or Si—N bond, at least one hydrocarbon, and at least two halogens attached to silicon in its molecule.
    Type: Grant
    Filed: August 3, 2012
    Date of Patent: October 22, 2013
    Assignee: ASM Japan K.K.
    Inventor: Atsuki Fukazawa
  • Patent number: 8541318
    Abstract: This invention relates to silicon precursor compositions for forming silicon-containing films by low temperature (e.g., <550° C.) chemical vapor deposition processes for fabrication of ULSI devices and device structures. Such silicon precursor compositions comprise at least a silane or disilane derivative that is substituted with at least one alkylhydrazine functional groups and is free of halogen substitutes.
    Type: Grant
    Filed: February 9, 2012
    Date of Patent: September 24, 2013
    Assignee: Advanced Technology Materials, Inc.
    Inventors: Ziyun Wang, Chongying Xu, Thomas H. Baum
  • Patent number: 8530361
    Abstract: A method for depositing a silicon containing film on a substrate using an organoaminosilane is described herein. The organoaminosilanes are represented by the formulas: wherein R is selected from a C1-C10 linear, branched, or cyclic, saturated or unsaturated alkyl group with or without substituents; a C5-C10 aromatic group with or without substituents, a C3-C10 heterocyclic group with or without substituents, or a silyl group in formula C with or without substituents, R1 is selected from a C3-C10 linear, branched, cyclic, saturated or unsaturated alkyl group with or without substituents; a C6-C10 aromatic group with or without substituents, a C3-C10 heterocyclic group with or without substituents, a hydrogen atom, a silyl group with substituents and wherein R and R1 in formula A can be combined into a cyclic group and R2 representing a single bond, (CH2)n chain, a ring, C3-C10 branched alkyl, SiR2, or SiH2.
    Type: Grant
    Filed: December 22, 2010
    Date of Patent: September 10, 2013
    Assignee: Air Products and Chemicals, Inc.
    Inventors: Manchao Xiao, Xinjian Lei, Heather Regina Bowen, Mark Leonard O'Neill
  • Publication number: 20130181331
    Abstract: Provided are silicon-containing films with a refractive index suitable for antireflection, articles having a surface comprising the films, and atmospheric-pressure plasma-enhanced chemical vapor deposition (AE-PECVD) processes for the formation of surface films and coatings. The processes generally include providing a substrate, providing a precursor comprising silicon, and reacting the precursor with a gas comprising nitrogen (N2) in a low-temperature plasma at atmospheric pressure, wherein the products of the reacting form a film on the substrate. An antireflection coating made by the process can have a refractive index of about 1.5 to about 2.2. Articles are provided having a surface that includes the antireflection coating.
    Type: Application
    Filed: September 28, 2011
    Publication date: July 18, 2013
    Applicant: NDSU RESEARCH FOUNDATION
    Inventors: Guruvenket Srinivasan, Robert Sailer
  • Publication number: 20130183835
    Abstract: Methods and apparatus for forming conformal silicon nitride films at low temperatures on a substrate are provided. The methods of forming a silicon nitride layer include performing a deposition cycle including flowing a processing gas mixture into a processing chamber having a substrate therein, wherein the processing gas mixture comprises precursor gas molecules having labile silicon to nitrogen, silicon to carbon, or nitrogen to carbon bonds, activating the precursor gas at a temperature between about 20° C. to about 480° C. by preferentially breaking labile bonds to provide one or more reaction sites along a precursor gas molecule, forming a precursor material layer on the substrate, wherein the activated precursor gas molecules bond with a surface on the substrate at the one or more reaction sites, and performing a plasma treatment process on the precursor material layer to form a conformal silicon nitride layer.
    Type: Application
    Filed: January 18, 2012
    Publication date: July 18, 2013
    Applicant: APPLIED MATERIALS, INC.
    Inventors: Victor Nguyen, Mihaela Balseanu, Li-Qun Xia, Derek R. Witty
  • Patent number: 8329599
    Abstract: A method of forming a dielectric film having at least Si—N, Si—C, or Si—B bonds on a semiconductor substrate by atomic layer deposition (ALD), includes: adsorbing a precursor on a surface of a substrate; supplying a reactant gas over the surface; reacting the precursor and the reactant gas on the surface; and repeating the above steps to form a dielectric film having at least Si—N, Si—C, or Si—B bonds on the substrate. The precursor has at least one Si—C or Si—N bond, at least one hydrocarbon, and at least one halogen attached to silicon in its molecule.
    Type: Grant
    Filed: February 18, 2011
    Date of Patent: December 11, 2012
    Assignee: ASM Japan K.K.
    Inventors: Atsuki Fukazawa, Noboru Takamure
  • Patent number: 8242032
    Abstract: This invention relates to silicon precursor compositions for forming silicon-containing films by low temperature (e.g., <550° C.) chemical vapor deposition processes for fabrication of ULSI devices and device structures. Such silicon precursor compositions comprise at least a silane or disilane derivative that is substituted with at least one alkylhydrazine functional groups and is free of halogen substitutes.
    Type: Grant
    Filed: January 4, 2011
    Date of Patent: August 14, 2012
    Assignee: Advanced Technology Materials, Inc.
    Inventors: Ziyun Wang, Chongying Xu, Thomas H. Baum
  • Patent number: 8227358
    Abstract: Novel silicon precursors for low temperature deposition of silicon films are described herein. The disclosed precursors possess low vaporization temperatures, preferably less than about 500° C. In addition, embodiments of the silicon precursors incorporate a —Si—Y—Si— bond, where Y may comprise an amino group, a substituted or unsubstituted hydrocarbyl group, or oxygen. In an embodiment a silicon precursor has the formula: where Y is a hydrocarbyl group, a substituted hydrocarbyl group, oxygen, or an amino group; R1, R2, R3, and R4 are each independently a hydrogen group, a hydrocarbyl group, a substituted hydrocarbyl group, a heterohydrocarbyl group, wherein R1, R2, R3, and R4 may be the same or different from one another; X1, X2, X3, and X4 are each independently, a hydrogen group, a hydrocarbyl group, a substituted hydrocarbyl group, or a hydrazine group, wherein X1, X2, X3, and X4 may be the same or different from one another.
    Type: Grant
    Filed: March 28, 2011
    Date of Patent: July 24, 2012
    Assignee: Air Liquide Electronics U.S. LP
    Inventors: Ziyun Wang, Ashutosh Misra, Ravi Laxman
  • Patent number: 8119545
    Abstract: Provided is a plasma CVD device. In the plasma CVD device, in producing a silicon nitride film while controlling the size of a band gap by CVD, microwaves are introduced into a treatment vessel by a flat antenna having a plurality of holes. The plasma CVD is carried out under a given treatment pressure selected from a pressure range of not less than 0.1 Pa and not more than 1333 Pa at a flow ratio between a silicon-containing compound gas and a nitrogen gas (silicon-containing compound gas flow rate/nitrogen gas flow rate) selected from a range of not less than 0.005 and not more than 0.2, whereby the Si/N ratio in the film is controlled to form a silicon nitride film having a band gap size of not less than 2.5 eV and not more than 7 eV.
    Type: Grant
    Filed: March 30, 2009
    Date of Patent: February 21, 2012
    Assignee: Tokyo Electron Limited
    Inventors: Minoru Honda, Toshio Nakanishi, Masayuki Kohno, Tatsuo Nishita, Junya Miyahara
  • Patent number: 7998882
    Abstract: When forming dielectric materials of reduced dielectric constant in sophisticated metallization systems, the creation of defect particles on the dielectric material may be reduced during a plasma enhanced deposition process by inserting an inert plasma step after the actual deposition step.
    Type: Grant
    Filed: June 25, 2009
    Date of Patent: August 16, 2011
    Assignee: GLOBALFOUNDRIES Inc.
    Inventors: Ulrich Mayer, Hartmut Ruelke
  • Publication number: 20110195582
    Abstract: A method of producing silicon containing thin films by the thermal polymerization of a reactive gas mixture bisaminosilacyclobutane and source gas selected from a nitrogen providing gas, an oxygen providing gas and mixtures thereof. The films deposited may be silicon nitride, silicon carbonitride, silicon dioxide or carbon doped silicon dioxide. These films are useful as dielectrics, passivation coatings, barrier coatings, spacers, liners and/or stressors in semiconductor devices.
    Type: Application
    Filed: August 11, 2009
    Publication date: August 11, 2011
    Inventor: Xiaobing Zhou
  • Patent number: 7985188
    Abstract: Methods for processing a vessel, for example to provide a gas barrier or lubricity, are disclosed. First and second PECVD or other vessel processing stations or devices and a vessel holder comprising a vessel port are provided. An opening of the vessel can be seated on the vessel port. The interior surface of the seated vessel can be processed via the vessel port by the first and second processing stations or devices. Vessel barrier, lubricity and hydrophobic coatings and coated vessels, for example syringes and medical sample collection tubes are disclosed. A vessel processing system and vessel inspection apparatus and methods are also disclosed.
    Type: Grant
    Filed: May 12, 2010
    Date of Patent: July 26, 2011
    Assignee: CV Holdings LLC
    Inventors: John T. Felts, Thomas E. Fisk, Robert S. Abrams, John Ferguson, Johathan R. Freedman, Robert J. Pangborn, Peter J. Sagona
  • Patent number: 7951730
    Abstract: Methods for forming silicon nitride hard masks are provided. The silicon nitride hard masks include carbon-doped silicon nitride layers and undoped silicon nitride layers. Carbon-doped silicon nitride layers that are deposited from a mixture comprising a carbon source compound, a silicon source compound, and a nitrogen source in the presence of RF power are provided. Also provided are methods of UV post-treating silicon nitride layers to provide silicon nitride hard masks. The carbon-doped silicon nitride layers and UV post-treated silicon nitride layers have desirable wet etch rates and dry etch rates for hard mask layers.
    Type: Grant
    Filed: February 4, 2009
    Date of Patent: May 31, 2011
    Assignee: Applied Materials, Inc.
    Inventors: Ritwik Bhatia, Li-Qun Xia, Chad Peterson, Hichem M'Saad
  • Patent number: 7923378
    Abstract: A silicon-containing insulating film is formed on a target substrate by CVD, in a process field to be selectively supplied with a first process gas including di-iso-propylaminosilane gas and a second process gas including an oxidizing gas or nitriding gas. The film is formed by performing a plurality of times a cycle alternately including first and second steps. The first step performs supply of the first process gas, thereby forming an adsorption layer containing silicon on a surface of the target substrate. The second performs supply of the second process gas, thereby oxidizing or nitriding the adsorption layer on the surface of the target substrate. The second step includes an excitation period of supplying the second process gas to the process field while exciting the second process gas by an exciting mechanism.
    Type: Grant
    Filed: January 28, 2009
    Date of Patent: April 12, 2011
    Assignee: Tokyo Electron Limited
    Inventors: Kazuhide Hasebe, Shigeru Nakajima, Jun Ogawa
  • Patent number: 7875556
    Abstract: Classes of liquid aminosilanes have been found which allow for the production of silicon carbo-nitride films of the general formula SixCyNz. These aminosilanes, in contrast, to some of the precursors employed heretofore, are liquid at room temperature and pressure allowing for convenient handling. In addition, the invention relates to a process for producing such films. The classes of compounds are generally represented by the formulas: and mixtures thereof, wherein R and R1 in the formulas represent aliphatic groups typically having from 2 to about 10 carbon atoms, e.g., alkyl, cycloalkyl with R and R1 in formula A also being combinable into a cyclic group, and R2 representing a single bond, (CH2)n, a ring, or SiH2.
    Type: Grant
    Filed: May 16, 2005
    Date of Patent: January 25, 2011
    Assignee: Air Products and Chemicals, Inc.
    Inventors: Manchao Xiao, Arthur Kenneth Hochberg
  • Patent number: 7863203
    Abstract: This invention relates to silicon precursor compositions for forming silicon-containing films by low temperature (e.g., <550° C.) chemical vapor deposition processes for fabrication of ULSI devices and device structures. Such silicon precursor compositions comprise at least a silane or disilane derivative that is substituted with at least one alkylhydrazine functional groups and is free of halogen substitutes.
    Type: Grant
    Filed: January 24, 2008
    Date of Patent: January 4, 2011
    Assignee: Advanced Technology Materials, Inc.
    Inventors: Ziyun Wang, Chongying Xu, Thomas H. Baum
  • Patent number: 7858294
    Abstract: Silica dielectric films, whether nanoporous foamed silica dielectrics or nonporous silica dielectrics are readily damaged by fabrication methods and reagents that reduce or remove hydrophobic properties from the dielectric surface. The invention provides for methods of imparting hydrophobic properties to such damaged silica dielectric films present on a substrate. The invention also provides plasma-based methods for imparting hydrophobicity to both new and damaged silica dielectric films. Semiconductor devices prepared by the inventive processes are also provided.
    Type: Grant
    Filed: April 5, 2007
    Date of Patent: December 28, 2010
    Assignee: Honeywell International Inc.
    Inventors: Nigel P. Hacker, Michael Thomas, James S. Drage
  • Patent number: 7807586
    Abstract: A method for forming a stressed passivation film. In one embodiment, the method includes depositing a silicon nitride film over an integrated circuit structure on a substrate and embedding oxygen into a surface of the silicon nitride film by exposing the silicon nitride film to a process gas containing oxygen radicals formed by non-ionizing electromagnetic radiation induced dissociation of an oxygen-containing gas or an oxygen- and nitrogen-containing gas. The method further includes heat-treating the oxygen-embedded silicon nitride film to form a stressed silicon oxynitride film.
    Type: Grant
    Filed: March 28, 2008
    Date of Patent: October 5, 2010
    Assignee: Tokyo Electron Limited
    Inventor: Robert D Clark
  • Patent number: 7790635
    Abstract: A method for forming a compressive stress carbon-doped silicon nitride layer is provided. The method includes forming an initiation layer and a bulk layer thereon, wherein the bulk layer has a compressive stress of between about ?0.1 GPa and about ?10 GPa. The initiation layer is deposited from a gas mixture that includes a silicon and carbon-containing precursor and optionally a nitrogen and/or source but does not include hydrogen gas. The bulk layer is deposited from a gas mixture that includes a silicon and carbon-containing precursor, a nitrogen source, and hydrogen gas. The initiation layer is a thin layer that allows good transfer of the compressive stress of the bulk layer therethrough to an underlying layer, such as a channel of a transistor.
    Type: Grant
    Filed: December 14, 2006
    Date of Patent: September 7, 2010
    Assignee: Applied Materials, Inc.
    Inventors: Mihaela Balseanu, Victor T. Nguyen, Li-Qun Xia, Vladimir Zubkov, Derek R. Witty, Hichem M'Saad
  • Patent number: 7790634
    Abstract: Methods of making a silicon oxide layer on a substrate are described. The methods may include forming the silicon oxide layer on the substrate in a reaction chamber by reacting an atomic oxygen precursor and a silicon precursor and depositing reaction products on the substrate. The atomic oxygen precursor is generated outside the reaction chamber. The methods also include heating the silicon oxide layer at a temperature of about 600° C. or less, and exposing the silicon oxide layer to an induced coupled plasma. Additional methods are described where the deposited silicon oxide layer is cured by exposing the layer to ultra-violet light, and also exposing the layer to an induced coupled plasma.
    Type: Grant
    Filed: May 25, 2007
    Date of Patent: September 7, 2010
    Assignee: Applied Materials, Inc
    Inventors: Jeffrey C. Munro, Srinivas D. Nemani
  • Patent number: 7781352
    Abstract: A method of forming an inorganic silazane-based dielectric film includes: introducing a gas constituted by Si and H and a gas constituted by N and optionally H into a reaction chamber where an object is placed; controlling a temperature of the object at ?50° C. to 50° C.; and depositing by plasma reaction a film constituted by Si, N, and H containing inorganic silazane bonds.
    Type: Grant
    Filed: June 6, 2007
    Date of Patent: August 24, 2010
    Assignee: ASM Japan K.K.
    Inventors: Atsuki Fukazawa, Nobuo Matsuki, Jeongseok Ha
  • Publication number: 20100120262
    Abstract: The present invention is a method to increase the intrinsic compressive stress in plasma enhanced chemical vapor deposition (PECVD) silicon nitride (SiN) and silicon carbonitride (SiCN) thin films, comprising depositing the film from an amino vinylsilane-based precursor. More specifically the present invention uses the amino vinylsilane-based precursor selected from the formula: [RR1N]xSiR3y(R2)z, where x+y+z=4, x=1-3, y=0-2, and z=1-3; R, R1 and R3 can be hydrogen, C1 to C10 alkane, alkene, or C4 to C12 aromatic; each R2 is a vinyl, allyl or vinyl-containing functional group.
    Type: Application
    Filed: October 30, 2009
    Publication date: May 13, 2010
    Applicant: AIR PRODUCTS AND CHEMICALS, INC.
    Inventors: Vasil Vorsa, Andrew David Johnson, Manchao Xiao
  • Patent number: 7662730
    Abstract: A method for fabricating an ultra-high tensile-stressed nitride film is disclosed. A PECVD process is first performed to deposit a transitional silicon nitride film over a substrate. The transitional silicon nitride film has a first concentration of hydrogen atoms. The transitional silicon nitride film is subjected to UV curing process for reducing the first concentration of hydrogen atoms to a second concentration of hydrogen atoms.
    Type: Grant
    Filed: November 24, 2005
    Date of Patent: February 16, 2010
    Assignee: United Microelectronics Corp.
    Inventors: Neng-Kuo Chen, Teng-Chun Tsai, Chien-Chung Huang, Tsai-Fu Chen, Wen-Han Hung
  • Patent number: 7651961
    Abstract: A method for forming a strained SiN film and a semiconductor device containing the strained SiN film. The method includes exposing the substrate to a gas including a silicon precursor, exposing the substrate to a gas containing a nitrogen precursor activated by a plasma source at a first level of plasma power and configured to react with the silicon precursor with a first reactivity characteristic, and exposing the substrate to a gas containing the nitrogen precursor activated by the plasma source at a second level of plasma power different from the first level and configured to react with the silicon precursor with a second reactivity characteristic such that a property of the silicon nitride film formed on the substrate changes to provide the strained silicon nitride film.
    Type: Grant
    Filed: March 30, 2007
    Date of Patent: January 26, 2010
    Assignee: Tokyo Electron Limited
    Inventor: Robert D. Clark
  • Patent number: 7601619
    Abstract: A method and an apparatus for plasma processing which can accurately monitor an ion current applied to the surface of a sample. Predetermined gas is exhausted via an exhaust port by a turbo-molecular pump while introducing the gas within the vacuum chamber from a gas supply device, and the pressure within the vacuum chamber is kept at a predetermined value by a pressure regulating valve. A high-frequency power supply for a plasma source supplies a high-frequency power to a coil provided near a dielectric window to generate inductively coupled plasma within the vacuum chamber. A high-frequency power supply for the sample electrode for supplying the high-frequency power to the sample electrode is provided. A matching circuit for the sample electrode and a high-frequency sensor are provided between the sample electrode high-frequency power supply and the sample electrode. An ion current applied to the surface of a sample can be accurately monitored buy using the high-frequency sensor and an arithmetic device.
    Type: Grant
    Filed: April 4, 2006
    Date of Patent: October 13, 2009
    Assignee: Panasonic Corporation
    Inventors: Tomohiro Okumura, Yuichiro Sasaki, Katsumi Okashita, Hiroyuki Ito, Bunji Mizuno, Cheng-Guo Jin, Ichiro Nakayama
  • Patent number: 7592273
    Abstract: A method of forming a semiconductor device comprises providing a portion of a semiconductor device structure, wherein the portion includes a region susceptible to hydrogen incorporation due to subsequent device processing. For example, the subsequent device processing can include one or more of (i) forming a layer over the region, wherein the layer includes hydrogen and (ii) using gases containing hydrogen in a plasma for the subsequent device processing, wherein the semiconductor device is subject to an undesirable device characteristic alteration by hydrogen incorporation into the region. The method further comprises forming a hydrogen barrier layer overlying the region, wherein the hydrogen barrier layer prevents substantial migration of hydrogen made available due to the subsequent device processing into the underlying region. The method further includes performing the subsequent device processing.
    Type: Grant
    Filed: April 19, 2007
    Date of Patent: September 22, 2009
    Assignee: Freescale Semiconductor, Inc.
    Inventors: Stanley M. Filipiak, Zhi-Xiong Jiang, Mehul D. Shroff
  • Patent number: 7585790
    Abstract: A method of forming a semiconductor device. The method comprises steps of providing a substrate having a first transistor, a second transistor and non-salicide device formed thereon and the conductive type of the first transistor is different from that of the second transistor. A buffer layer is formed over the substrate and a tensile material layer is formed over the buffer layer. A portion of the tensile material layer over the second transistor is thinned and a spike annealing process is performed. The tensile material layer is removed to expose the buffer layer over the substrate and a patterned salicide blocking layer is formed over the non-salicide device. A salicide process is performed for forming a salicide layer on a portion of the first transistor and the second transistor.
    Type: Grant
    Filed: July 20, 2006
    Date of Patent: September 8, 2009
    Assignee: United Microelectronics Corp.
    Inventors: Wen-Han Hung, Cheng-Tung Huang, Kun-Hsien Lee, Shyh-Fann Ting, Li-Shian Jeng, Tzyy-Ming Cheng, Chia-Wen Liang, Neng-Kuo Chen
  • Patent number: 7541234
    Abstract: Integrated circuit transistors may be fabricated by simultaneously removing a photoresist layer on a first active area of an integrated circuit substrate and a carbon-containing layer on a second active area of the integrated circuit substrate, to expose a nitride stress-generating layer on the second active area. A single mask may be used to define the second active area for removal of the photoresist layer on the first active area and for implanting source/drain regions into the second active area.
    Type: Grant
    Filed: November 3, 2005
    Date of Patent: June 2, 2009
    Assignees: Samsung Electronics Co., Ltd., Chartered Semiconductor Manufacturing Ltd., Infineon Technologies AG
    Inventors: Chong Kwang Chang, Haoren Zhuang, Matthias Lipinski, Shailendra Mishra, O Sung Kwon, Tjin Tjin Tjoa, Young Gun Ko
  • Patent number: 7510984
    Abstract: A method of forming a silicon nitride film comprises: forming a silicon nitride film by applying first gas containing silicon and nitrogen and second gas containing nitrogen and hydrogen to catalyst heated in a reduced pressure atmosphere. A method of manufacturing a semiconductor device comprising the steps of: forming a silicon nitride film by the method as claimed in claim 1 on a substrate having the semiconductor layer, a gate insulation film selectively provided on a principal surface of the semiconductor layer, and a gate electrode provided on the gate insulation film; and removing the silicon nitride film on the semiconductor layer and the gate electrode and leaving a sidewall comprising the silicon nitride film on a side surface of the gate insulation film and the gate electrode by etching the silicon nitride film in a direction generally normal to the principal surface of the semiconductor layer.
    Type: Grant
    Filed: February 15, 2005
    Date of Patent: March 31, 2009
    Assignee: Ulvac, Inc.
    Inventors: Tsuyoshi Saito, Hiromi Itoh, Makiko Kitazoe
  • Patent number: 7501355
    Abstract: Methods for forming silicon nitride hard masks are provided. The silicon nitride hard masks include carbon-doped silicon nitride layers and undoped silicon nitride layers. Carbon-doped silicon nitride layers that are deposited from a mixture comprising a carbon source compound, a silicon source compound, and a nitrogen source in the presence of RF power are provided. Also provided are methods of UV post-treating silicon nitride layers to provide silicon nitride hard masks. The carbon-doped silicon nitride layers and UV post-treated silicon nitride layers have desirable wet etch rates and dry etch rates for hard mask layers.
    Type: Grant
    Filed: June 29, 2006
    Date of Patent: March 10, 2009
    Assignee: Applied Materials, Inc.
    Inventors: Ritwik Bhatia, Li-Qun Xia, Chad Peterson, Hichem M'Saad
  • Patent number: 7473655
    Abstract: Embodiments of the invention generally provide a method for depositing silicon-containing films. In one embodiment, a method for depositing silicon-containing material film on a substrate includes flowing a nitrogen and carbon containing chemical into a deposition chamber, flowing a silicon-containing source chemical having silicon-nitrogen bonds into the processing chamber, and heating the substrate disposed in the chamber to a temperature less than about 550 degrees Celsius. In another embodiment, the silicon containing chemical is trisilylamine and the nitrogen and carbon containing chemical is (CH3)3—N.
    Type: Grant
    Filed: June 17, 2005
    Date of Patent: January 6, 2009
    Assignee: Applied Materials, Inc.
    Inventors: Yaxin Wang, Yuji Maeda, Thomas C. Mele, Sean M. Seutter, Sanjeev Tandon, R. Suryanarayanan Iyer