Subphotolithographic Processing Patents (Class 438/947)
  • Patent number: 7794614
    Abstract: One possible embodiment is a method of manufacturing a structure on or in a substrate with the following steps a) positioning at least one spacer structure by a spacer technique on the substrate, b) using at least one of the groups of the spacer structure and a structure generated by the spacer structure as a mask for a subsequent particle irradiation step for generating a latent image in the substrate c) using the latent image for further processing the substrate.
    Type: Grant
    Filed: May 29, 2007
    Date of Patent: September 14, 2010
    Assignee: Qimonda AG
    Inventors: Rolf Weis, Christoph Noelscher
  • Patent number: 7781330
    Abstract: Methods of fabricating a semiconductor device is provided. The methods include forming an interlayer insulating layer on a semiconductor substrate having a first region and a second region. First contact plugs may be formed on a portion of the second region to fill a plurality of first contact holes. A plurality of first contact mask layers and a plurality of first dummy mask layers may be formed on the interlayer insulating layer. The first contact mask layers may be formed in the first region. The first dummy mask layers may be formed in the second region. A plurality of second contact mask layers may be formed between two adjacent first contact mask layers. A plurality of second dummy mask layers may be formed between two adjacent first dummy mask layers. The interlayer insulating layer may be etched using the first contact mask layers and the second contact mask layers as etch stop layers to form a plurality of second contact holes through the interlayer insulating layer formed in the first region.
    Type: Grant
    Filed: July 25, 2007
    Date of Patent: August 24, 2010
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Chae-Iyoung Kim, Chang-ki Hong, Bo-un Yoon, Sung-ho Shin, Byoung-ho Kwon
  • Patent number: 7781311
    Abstract: System and method for filling vias in integrated circuits A preferred embodiment comprises forming a spacer layer on a substrate, forming a via with walls and a bottom in the spacer layer, depositing a conformal conductive layer on the spacer layer and on the walls and bottom of the via, spinning-on a photo-definable material on the conductive layer, forming a fill layer on the conductive layer and filling the via, exposing portions of the fill layer to an exposing light using a photomask, developing the fill layer to remove select portions of the fill layer and leave a portion of the fill layer filling the via, and removing the spacer layer. The use of a spin-on photo-definable material increases the material's filling and planarizing capabilities, while enabling a reduction in the number of process steps, which may reduce the likelihood of manufacturing defects, thereby increasing manufacturing yield.
    Type: Grant
    Filed: December 20, 2006
    Date of Patent: August 24, 2010
    Assignee: Texas Instruments Incorporated
    Inventors: Mark Andrew Franklin, Georgina Marie Jabbour, James Carl Baker
  • Patent number: 7776624
    Abstract: A semiconductor fabrication method. The method includes providing a semiconductor substrate, wherein the semiconductor substrate includes a semiconductor material. Next, a top portion of the semiconductor substrate is removed. Next, a first semiconductor layer is epitaxially grown on the semiconductor substrate, wherein a first atomic percent of a first semiconductor material in the first semiconductor layer is equal to a substrate atomic percent of the substrate semiconductor material in the semiconductor substrate.
    Type: Grant
    Filed: July 8, 2008
    Date of Patent: August 17, 2010
    Assignee: International Business Machines Corporation
    Inventors: Ashima B. Chakravarti, Judson Robert Holt, Jeremy John Kempisty, Suk Hoon Ku, Woo-Hyeong Lee, Amlan Majumdar, Ryan Matthew Mitchell, Renee Tong Mo, Zhibin Ren, Dinkar Singh
  • Patent number: 7772050
    Abstract: The present invention relates to a method for manufacturing a flat panel display. Herein, the same mask is used to form contact holes and pixel electrodes in the display substrate. Hence, the number of masks needed for manufacturing the flat panel display can be reduced to decrease the manufacturing cost.
    Type: Grant
    Filed: October 10, 2008
    Date of Patent: August 10, 2010
    Assignee: Chunghwa Picture Tubes, Ltd.
    Inventors: Shu-Yu Chang, Wen-Hsiung Liu
  • Patent number: 7767099
    Abstract: The present invention is directed to the formation of sublithographic features in a semiconductor structure using self-assembling polymers. The self-assembling polymers are formed in openings in a hard mask, annealed and then etched, followed by etching of the underlying dielectric material. At least one sublithographic feature is formed according to this method. Also disclosed is an intermediate semiconductor structure in which at least one interconnect wiring feature has a dimension that is defined by a self-assembled block copolymer.
    Type: Grant
    Filed: January 26, 2007
    Date of Patent: August 3, 2010
    Assignee: International Business Machines Corporaiton
    Inventors: Wai-Kin Li, Haining S. Yang
  • Patent number: 7749902
    Abstract: Provided is a method of manufacturing a semiconductor device using double patterning. The method includes: forming a first material layer pattern having recesses in a first direction on an object layer and a second material layer pattern formed on the first material layer pattern; selectively etching the second material layer pattern and the first material layer pattern in a direction perpendicular to the first direction to form an etching mask; and etching the object layer to form minute patterns.
    Type: Grant
    Filed: June 5, 2007
    Date of Patent: July 6, 2010
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Hyun-chul Kim, Sung-il Cho, Jae-seung Hwang, Jun Sen, Yong-hyun Kwon
  • Patent number: 7742677
    Abstract: A method for producing an optoelectronic component is disclosed. The method includes the steps of providing a substrate, applying a semiconductor layer sequence to the substrate, applying at least two current expansion layers to the semiconductor layer sequence, applying and patterning a mask layer, patterning the second current expansion layer by means of an etching process during which sidewalls of the mask layer are undercut, patterning the first current expansion layer by means of an etching process during which the sidewalls of the mask layer are undercut at least to a lesser extent than during the patterning of the second current expansion layer, and removing the mask layer.
    Type: Grant
    Filed: June 4, 2007
    Date of Patent: June 22, 2010
    Assignee: Osram Opto Semiconductors GmbH
    Inventors: Franz Eberhard, Uwe Strauss, Ulrich Zehnder, Andreas Weimar, Raimund Oberschmid
  • Patent number: 7718529
    Abstract: Ultrafine dimensions, smaller than conventional lithographic capabilities, are formed employing an efficient inverse spacer technique comprising selectively removing spacers. Embodiments include forming a first mask pattern over a target layer, forming a spacer layer on the upper and side surfaces of the first mask pattern leaving intermediate spaces, depositing a material in the intermediate spacers leaving the spacer layer exposed, selectively removing the spacer layer to form a second mask pattern having openings exposing the target layer, and etching the target layer through the second mask pattern.
    Type: Grant
    Filed: July 17, 2007
    Date of Patent: May 18, 2010
    Assignee: GlobalFoundries Inc.
    Inventors: Yunfei Deng, Ryoung-han Kim, Thomas I. Wallow
  • Patent number: 7709389
    Abstract: A method of fabricating a semiconductor device comprising a method of forming an etching mask used for etching a semiconductor base material is disclosed. The method of fabricating a semiconductor device comprises forming hard mask patterns on a semiconductor base material; forming material layers covering the lateral and top surfaces of the hard mask patterns to form openings between adjacent hard mask patterns, wherein the width of each opening is smaller than the distance between adjacent hard mask patterns; and etching the semiconductor base material using the hard mask patterns and material layers as an etching mask.
    Type: Grant
    Filed: July 5, 2006
    Date of Patent: May 4, 2010
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Dong-chan Kim, Chang-jin Kang, Kyeong-koo Chi
  • Patent number: 7709390
    Abstract: Methods of isolating spaces formed between features in an array during a pitch reduction process and semiconductor device structures having the same. In one embodiment, ends of the features are wider than middle regions of the features. During the pitch reduction process, spacer sidewalls formed between adjacent ends of the features come into substantial contact with one another, isolating the spaces between the features. In another embodiment, the features have a single width and an additional feature is located near ends of the features. Spacer sidewalls formed between adjacent features and the additional feature come into substantial contact with one another, isolating the spaces between the features.
    Type: Grant
    Filed: May 31, 2007
    Date of Patent: May 4, 2010
    Assignee: Micron Technology, Inc.
    Inventor: Adam L. Olson
  • Patent number: 7704888
    Abstract: Methods for removing photoresist from semiconductor structures are provided. In an exemplary embodiment, a method for removing photoresist from a semiconductor structure having a high-k dielectric material layer overlying a substrate comprises depositing a photoresist overlying the high-k dielectric material layer and patterning the photoresist. The temperature of the substrate is adjusted to a temperature of no less than about 400° C. and hydrogen gas is excited to form a hydrogen plasma of excited H and H2 species. The photoresist is subjected to the excited H and H2 species from the hydrogen plasma.
    Type: Grant
    Filed: January 23, 2007
    Date of Patent: April 27, 2010
    Assignee: Globalfoundries Inc.
    Inventor: Richard J. Carter
  • Patent number: 7696101
    Abstract: A method used during the manufacture of a semiconductor device comprises the formation of a first patterned layer having individual features of a first density. Through the formation and etching of various layers, for example conformal layers and a spun-on layer, a second patterned layer results which comprises individual features of a second density, which is about three times the first density. An in-process semiconductor apparatus formed using the method, and a system comprising the semiconductor apparatus formed according to the method, is also described.
    Type: Grant
    Filed: November 1, 2005
    Date of Patent: April 13, 2010
    Assignee: Micron Technology, Inc.
    Inventor: Mingtao Li
  • Patent number: 7695632
    Abstract: A method for forming a feature in an etch layer is provided. A photoresist layer is formed over the etch layer. The photoresist layer is patterned to form photoresist features with photoresist sidewalls. A control layer is formed over the photoresist layer and bottoms of the photoresist features. A conformal layer is deposited over the sidewalls of the photoresist features and control layer to reduce the critical dimensions of the photoresist features. Openings in the control layer are opened with a control layer breakthrough chemistry. Features are etched into the etch layer with an etch chemistry, which is different from the control layer break through chemistry, wherein the control layer is more etch resistant to the etch with the etch chemistry than the conformal layer.
    Type: Grant
    Filed: May 31, 2005
    Date of Patent: April 13, 2010
    Assignee: Lam Research Corporation
    Inventors: Sangheon Lee, Dae-Han Choi, Jisoo Kim, Peter Cirigliano, Zhisong Huang, Robert Charatan, S.M. Reza Sadjadi
  • Patent number: 7648919
    Abstract: A method for defining patterns in an integrated circuit comprises defining a plurality of features in a first photoresist layer using photolithography over a first region of a substrate. The method further comprises using pitch multiplication to produce at least two features in a lower masking layer for each feature in the photoresist layer. The features in the lower masking layer include looped ends. The method further comprises covering with a second photoresist layer a second region of the substrate including the looped ends in the lower masking layer. The method further comprises etching a pattern of trenches in the substrate through the features in the lower masking layer without etching in the second region. The trenches have a trench width.
    Type: Grant
    Filed: April 20, 2006
    Date of Patent: January 19, 2010
    Inventors: Luan C. Tran, John Lee, Zengtao “Tony” Liu, Eric Freeman, Russell Nielsen
  • Patent number: 7615496
    Abstract: A self-align patterning method for forming patterns includes forming a first layer on a substrate, forming a plurality of first hard mask patterns on the first layer, forming a sacrificial layer on top surfaces and sidewalls of the first hard mask patterns, thereby forming a gap between respective facing portions of the sacrificial layer on the sidewalls of the first hard mask patterns, forming a second hard mask pattern in the gap, etching the sacrificial layer using the second hard mask pattern as a mask to expose the first hard mask patterns, exposing the first layer using the exposed first hard mask patterns and the second hard mask pattern, and etching the exposed first layer using the first and second hard mask patterns.
    Type: Grant
    Filed: October 31, 2006
    Date of Patent: November 10, 2009
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Ji-young Lee, Dae-hyun Jang
  • Patent number: 7611980
    Abstract: Single spacer processes for multiplying pitch by a factor greater than two are provided. In one embodiment, n, where n?2, tiers of stacked mandrels are formed over a substrate, each of the n tiers comprising a plurality of mandrels substantially parallel to one another. Mandrels at tier n are over and parallel to mandrels at tier n?1, and the distance between adjoining mandrels at tier n is greater than the distance between adjoining mandrels at tier n?1. Spacers are simultaneously formed on sidewalls of the mandrels. Exposed portions of the mandrels are etched away and a pattern of lines defined by the spacers is transferred to the substrate.
    Type: Grant
    Filed: August 30, 2006
    Date of Patent: November 3, 2009
    Assignee: Micron Technology, Inc.
    Inventors: David H. Wells, Mirzafer K. Abatchev
  • Patent number: 7592265
    Abstract: A method of trimming hard mask is provided. The method includes providing a substrate, a hard mask layer, and a tri-layer stack on the substrate. The tri-layer stack includes a top photo resist layer, a silicon photo resist layer, and a bottom photo resist layer. The top photo resist layer, the silicon photo resist layer, the bottom photo resist layer, and the hard mask layer are patterned sequentially. A trimming process is performed on the hard mask layer. The bottom photo resist layer of the present invention is thinner and loses some height in the etching process, so the bottom photo resist layer will not collapse.
    Type: Grant
    Filed: January 4, 2007
    Date of Patent: September 22, 2009
    Assignee: United Microelectronics Corp.
    Inventors: Meng-Jun Wang, Yi-Hsing Chen, Min-Chieh Yang, Jiunn-Hsiung Liao
  • Patent number: 7579247
    Abstract: Non-volatile memory cells store a level of charge corresponding to the data being stored in a dielectric material storage element that is sandwiched between a control gate and the semiconductor substrate surface over channel regions of the memory cells. More than two memory states are provided by one of more than two levels of charge being stored in a common region of the dielectric material. More than one such common region may be included in each cell. In one form, two such regions are provided adjacent source and drain diffusions in a cell that also includes a select transistor positioned between them. In another form, NAND arrays of strings of memory cells store charge in regions of a dielectric layer sandwiched between word lines and the semiconductor substrate.
    Type: Grant
    Filed: January 25, 2008
    Date of Patent: August 25, 2009
    Assignee: SanDisk Corporation
    Inventors: Eliyahou Harari, George Samachisa, Jack H. Yuan, Daniel C. Guterman
  • Patent number: 7575992
    Abstract: A method of forming a micro pattern in a semiconductor device is disclosed. An oxide film mask is divided into a cell oxide film mask and a peri oxide film mask. Therefore, a connection between the cell and the peri region can be facilitated. A portion of a top surface of a first oxide film pattern between a region in which a word line will be formed and a region in which a select source line will be formed is removed. Accordingly, the space can be increased and program disturbance in the region in which the word line will be formed can be prevented. Furthermore, a pattern having a line of 50 nm and a space of 100 nm or a pattern having a line of 100 nm and a space of 50 nm, which exceeds the limitation of the ArF exposure equipment, can be formed using a pattern, which has a line of 100 nm and a space of 200 nm and therefore has a good process margin and a good critical dimension regularity.
    Type: Grant
    Filed: September 8, 2006
    Date of Patent: August 18, 2009
    Assignee: Hynix Semiconductor Inc.
    Inventors: Woo Yung Jung, Jong Hoon Kim
  • Patent number: 7550391
    Abstract: A method for forming fine patterns of a semiconductor device is disclosed. The method includes forming an etch film on a substrate, forming a protection film on the etch film, forming a hard mask layer on the protection film, and forming a plurality of first mask patterns characterized by a first pitch on the hard mask layer. The method further comprises forming a plurality of second mask patterns, forming hard mask patterns exposing portions of the protection film by etching the hard mask layer using the first and second mask patterns as an etch mask, and removing the first and second mask patterns. The method still further comprises exposing portions of the etch film and forming a plurality of fine patterns characterized by a second pitch equal to half of the first pitch by etching the etch film using at least the hard mask patterns as an etch mask.
    Type: Grant
    Filed: March 30, 2007
    Date of Patent: June 23, 2009
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Kyung-yub Jeon, Myeong-cheol Kim, Hak-sun Lee, Je-woo Han
  • Patent number: 7547640
    Abstract: Different sized features in the array and in the periphery of an integrated circuit are patterned on a substrate in a single step. In particular, a mixed pattern, combining two separately formed patterns, is formed on a single mask layer and then transferred to the underlying substrate. The first of the separately formed patterns is formed by pitch multiplication and the second of the separately formed patterns is formed by conventional photolithography. The first of the separately formed patterns includes lines that are below the resolution of the photolithographic process used to form the second of the separately formed patterns. These lines are made by forming a pattern on photoresist and then etching that pattern into an amorphous carbon layer. Sidewall pacers having widths less than the widths of the un-etched parts of the amorphous carbon are formed on the sidewalls of the amorphous carbon. The amorphous carbon is then removed, leaving behind the sidewall spacers as a mask pattern.
    Type: Grant
    Filed: July 24, 2006
    Date of Patent: June 16, 2009
    Assignee: Micron Technology, Inc.
    Inventors: Mirzafer K. Abatchev, Gurtej Sandhu, Luan Tran, William T. Rericha, D. Mark Durcan
  • Patent number: 7517796
    Abstract: The present invention provides for a method to pattern and etch very small dimension pillars, for example in a memory array. When dimensions of pillars become very small, the photoresist pillars used to pattern them may not have sufficient mechanical strength to survive the photoresist exposure and development process. Using methods according to the present invention, these photoresist pillars are printed and developed larger than their intended final dimension, such that they have increased mechanical strength, then are shrunk to the desired dimension during a preliminary etch performed before the etch of underlying material begins.
    Type: Grant
    Filed: February 17, 2005
    Date of Patent: April 14, 2009
    Assignee: Sandisk 3D LLC
    Inventors: Usha Raghuram, Michael W. Konevecki
  • Patent number: 7517466
    Abstract: A pattern forming material contains a block copolymer or graft copolymer and forms a structure having micro polymer phases, in which, with respect to at least two polymer chains among polymer chains constituting the block copolymer or graft copolymer, the ratio between N/(Nc?No) values of monomer units constituting respective polymer chains is 1.4 or more, where N represents total number of atoms in the monomer unit, Nc represents the number of carbon atoms in the monomer unit, No represents the number of oxygen atoms in the monomer unit.
    Type: Grant
    Filed: June 20, 2006
    Date of Patent: April 14, 2009
    Assignee: Kabushiki Kaisha Toshiba
    Inventors: Koji Asakawa, Toshiro Hiraoka, Yoshihiro Akasaka, Yasuyuki Hotta
  • Patent number: 7517806
    Abstract: A method and structure for an integrated circuit structure that utilizes complementary fin-type field effect transistors (FinFETs) is disclosed. The invention has a first-type of FinFET which includes a first fin, and a second-type of FinFET which includes a second fin running parallel to the first fin. The invention also has an insulator fin positioned between the source/drain regions of the first first-type of FinFET and the second-type of FinFET. The insulator fin has approximately the same width dimensions as the first fin and the second fin, such that the spacing between the first-type of FinFET and the second-type of FinFET is approximately equal to the width of one fin. The invention also has a common gate formed over channel regions of the first-type of FinFET and the second-type of FinFET. The gate includes a first impurity doping region adjacent the first-type of FinFET and a second impurity doping region adjacent the second-type of FinFET.
    Type: Grant
    Filed: July 21, 2005
    Date of Patent: April 14, 2009
    Assignee: International Business Machines Corporation
    Inventors: Andres Bryant, William F. Clark, Jr., David M. Fried, Mark D. Jaffe, Edward J. Nowak, John J. Pekarik, Christopher S. Putnam
  • Patent number: 7514367
    Abstract: A method of manufacturing for providing a narrow line, such as a phase change bridge, on a substrate having a top surface, includes first forming a layer of first material on the substrate. Then, a layer of a pattern material is applied on the layer of first material, and a pattern is defined. The pattern includes a ledge having a sidewall extending substantially to the layer of first material. A sidewall etch mask is formed on the ledge, and used to define a line of the first material on the substrate having a width substantially determined by the width of the sidewall etch mask.
    Type: Grant
    Filed: May 11, 2006
    Date of Patent: April 7, 2009
    Assignee: Macronix International Co., Ltd.
    Inventors: Hsiang Lan Lung, Chiahua Ho, Shih Hung Chen, Chieh Fang Chen
  • Patent number: 7507674
    Abstract: A method for manufacturing a memory device including a resistance change layer as a storage node according to example embodiment(s) of the present invention and a memory device made by the method(s) are provided. Pursuant to example embodiments of the present invention, the method may include stacking (sequentially or otherwise) a conductive material layer, a diode layer and a data storage layer on a bottom layer, forming a first material layer on the data storage layer, forming a first hole exposing the data storage layer in the first material layer, forming a first spacer with a second material layer on the sidewall of the first hole, filling the first hole with a third material layer and covering the first spacer; removing the first material layer, forming a second spacer with a fourth material layer on the sidewall of the first spacer; removing the third material layer, and forming a second hole exposing the bottom layer in a first stack structure using the first and second spacers as a mask.
    Type: Grant
    Filed: November 10, 2005
    Date of Patent: March 24, 2009
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Yoon-Dong Park, Won-Joo Kim, Sang-Hun Jeon
  • Patent number: 7507661
    Abstract: A method is provided for creating optical features on a lithography mask for use in patterning a series of openings of an etch mask on a semiconductor device wafer, comprising creating a series of optical features spaced on the lithography mask from one another along a first direction, where the individual optical features have first mask feature dimensions along the first direction that are smaller than a desired first dimension for the openings to be patterned in the etch mask.
    Type: Grant
    Filed: August 11, 2004
    Date of Patent: March 24, 2009
    Assignee: Spansion LLC
    Inventors: Emmanuil H. Lingunis, Ning Cheng, Mark Ramsbey, Kouros Ghandehari, Anna Minvielle, Hung-Eil Kim
  • Patent number: 7494922
    Abstract: A method of manufacturing a memory cell is disclosed. In one embodiment, the method includes forming an electrode including an outer surface that is substantially circular and an exposed surface that has a sublithographic dimension in a direction parallel to the exposed surface. Further, the method may also include forming a layer of phase change material coupled to the exposed surface of the electrode. Various semiconductor devices and additional methods of manufacturing memory cells are also provided.
    Type: Grant
    Filed: September 25, 2007
    Date of Patent: February 24, 2009
    Assignee: Micron Technology, Inc.
    Inventor: Russell C. Zahorik
  • Patent number: 7413963
    Abstract: A method of edge bevel rinse. First, a wafer having a coating material layer disposed thereon is provided. A light beam is optically projected on the wafer to form a reference pattern. The reference pattern defines a central region, and a bevel region surrounding the central region on the surface of the wafer. Subsequently, the coating material layer positioned in the bevel region is removed according to the reference pattern.
    Type: Grant
    Filed: April 12, 2006
    Date of Patent: August 19, 2008
    Assignee: Touch Micro-System Technology Inc.
    Inventors: Shih-Min Huang, Sh-Pei Yang
  • Patent number: 7407824
    Abstract: A semiconductor manufacturing method comprises forming a leveling guard ring defining an interior area into which are fabricated one or more devices. In certain embodiments two or more matched devices, such as in a common centroid layout, are fabricated in the interior area. The guard ring is formed on at least one particular layer for a particular processing step. By the guard ring overwhelming the effect of local features' elevation differences, photoresist thereafter applied consequently has a more uniform height across the interior area, resulting in more uniform devices. In some embodiments, a plurality of guard rings enclosing respective arrays of matched devices are arranged over the surface of a semiconductor wafer, spaced apart so as to be not local to one another. Based on the equalizing effect by each of the guard rings, the respective devices arranged in the interior areas are more evenly matched to equivalent devices in far-spaced guard rings. Thus, both local and global matching are achieved.
    Type: Grant
    Filed: May 15, 2007
    Date of Patent: August 5, 2008
    Assignee: Agere Systems, Inc.
    Inventors: Daniel Charles Kerr, Roscoe T. Luce, Michele Marie Jamison, Alan Sangone Chen, William A. Russell
  • Patent number: 7407890
    Abstract: A method of processing a substrate of a device comprises the as following steps. Form a cap layer over the substrate. Form a dummy layer over the cap layer, the cap layer having a top surface. Etch the dummy layer forming patterned dummy elements of variable widths and exposing sidewalls of the dummy elements and portions of the top surface of the cap layer aside from the dummy elements. Deposit a spacer layer over the device covering the patterned dummy elements and exposed surfaces of the cap layer. Etch back the spacer layer forming sidewall spacers aside from the sidewalls of the patterned dummy elements spaced above a minimum spacing and forming super-wide spacers between sidewalls of the patterned dummy elements spaced less than the minimum spacing. Strip the patterned dummy elements. Expose portions of the substrate aside from the sidewall spacers. Pattern exposed portions of the substrate by etching into the substrate.
    Type: Grant
    Filed: April 21, 2006
    Date of Patent: August 5, 2008
    Assignee: International Business Machines Corporation
    Inventor: Haining S. Yang
  • Patent number: 7396781
    Abstract: Variations in the pitch of features formed using pitch multiplication are minimized by separately forming at least two sets of spacers. Mandrels are formed and the positions of their sidewalls are measured. A first set of spacers is formed on the sideswalls. The critical dimension of the spacers is selected based upon the sidewall positions, so that the spacers are centered at desired positions. The mandrels are removed and the spacers are used as mandrels for a subsequent spacer formation. A second material is then deposited on the first set of spacers, with the critical dimensions of the second set of spacers chosen so that these spacers are also centered at their desired positions. The first set of spacers is removed and the second set is used as a mask for etching a substrate. By selecting the critical dimensions of spacers based partly on the measured position of mandrels, the pitch of the spacers can be finely controlled.
    Type: Grant
    Filed: June 9, 2005
    Date of Patent: July 8, 2008
    Assignee: Micron Technology, Inc.
    Inventor: David H. Wells
  • Patent number: 7368362
    Abstract: Methods and structures are provided for increasing alignment margins when contacting pitch multiplied interconnect lines with other conductive features in memory devices. The portions of the lines at the periphery of the memory device are formed at an angle and are widened relative to the portions of the lines in the array region of the memory device. The widened lines allow for an increased margin of error when overlaying other features, such as landing pads, on the lines. The possibility of contacting and causing electrical shorts with adjacent lines is thus minimized. In addition, forming the portions of the lines in the periphery at an angle relative to the portions of the lines in the array regions allows the peripheral portions to be widened while also allowing multiple landing pads to be densely packed at the periphery.
    Type: Grant
    Filed: June 8, 2006
    Date of Patent: May 6, 2008
    Assignee: Micron Technology, Inc.
    Inventors: Luan Tran, Bill Stanton
  • Publication number: 20080093743
    Abstract: A method to form interconnect structures including nano-scale, e.g., sub-lithographic, lines and vias for future generation of semiconductor technology using self-assembly block copolymers that can be placed at a specific location using a pre-fabricated hard mask pattern is provided. The inventive method provides an interconnect structure in which the line is self-aligned to the via.
    Type: Application
    Filed: October 19, 2006
    Publication date: April 24, 2008
    Applicant: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Haining Yang, Wai-Kin Li
  • Patent number: 7361569
    Abstract: Methods and structures are provided for increasing alignment margins when contacting pitch multiplied interconnect lines with other conductive features in memory devices. The portions of the lines at the periphery of the memory device are formed at an angle and are widened relative to the portions of the lines in the array region of the memory device. The widened lines allow for an increased margin of error when overlaying other features, such as landing pads, on the lines. The possibility of contacting and causing electrical shorts with adjacent lines is thus minimized. In addition, forming the portions of the lines in the periphery at an angle relative to the portions of the lines in the array regions allows the peripheral portions to be widened while also allowing multiple landing pads to be densely packed at the periphery.
    Type: Grant
    Filed: July 31, 2006
    Date of Patent: April 22, 2008
    Assignee: Micron Technology, Inc.
    Inventors: Luan Tran, Bill Stanton
  • Publication number: 20080085612
    Abstract: Methods of controlling critical dimensions of reduced-sized features during semiconductor fabrication through pitch multiplication are disclosed. Pitch multiplication is accomplished by patterning mask structures via conventional photoresist techniques and subsequently transferring the pattern to a sacrificial material. Spacer regions are then formed on the vertical surfaces of the transferred pattern following the deposition of a conformal material via atomic layer deposition. The spacer regions, and therefore the reduced features, are then transferred to a semiconductor substrate.
    Type: Application
    Filed: October 5, 2006
    Publication date: April 10, 2008
    Inventors: John A. Smythe, Gurtej S. Sandhu, Brian J. Coppa, Shyam Surthi, Shuang Meng
  • Publication number: 20080064203
    Abstract: A method for fabricating a contact hole is provided. A semiconductor substrate having thereon a conductive region is prepared. A dielectric layer is deposited on the semiconductor substrate and the conductive region. An etching resistive layer is coated on the dielectric layer. A silicon-containing hard mask bottom anti-reflection coating (SHB) layer is then coated on the etching resistive layer. A photoresist layer is then coated on the SHB layer. A lithographic process is performed to form a first opening in the photoresist layer. Using the photoresist layer as a hard mask, the SHB layer is etched through the first opening, thereby forming a shrunk, tapered second opening in the SHB layer. Using the etching resistive layer as an etching hard mask, etching the dielectric layer through the second opening to form a contact hole in the dielectric layer.
    Type: Application
    Filed: September 11, 2006
    Publication date: March 13, 2008
    Inventors: Pei-Yu Chou, Wen-Chou Tsai, Jiunn-Hsiung Liao
  • Patent number: 7341918
    Abstract: Non-volatile memory cells store a level of charge corresponding to the data being stored in a dielectric material storage element that is sandwiched between a control gate and the semiconductor substrate surface over channel regions of the memory cells. More than two memory states are provided by one of more than two levels of charge being stored in a common region of the dielectric material. More than one such common region may be included in each cell. In one form, two such regions are provided adjacent source and drain diffusions in a cell that also includes a select transistor positioned between them. In another form, NAND arrays of strings of memory cells store charge in regions of a dielectric layer sandwiched between word lines and the semiconductor substrate.
    Type: Grant
    Filed: March 7, 2005
    Date of Patent: March 11, 2008
    Assignee: SanDisk Corporation
    Inventors: Eliyahou Harari, George Samachisa, Jack H. Yuan, Daniel C. Guterman
  • Publication number: 20080032437
    Abstract: An exposure method executed after processing a hole in a substrate of a semiconductor device, has an exposure step of transferring a pattern on a mask onto an upper layer of the hole and forming a wiring groove by exposure, wherein a quantity of exposure with which a wiring groove 11 just above the hole or the wiring groove in the vicinity of the hole is exposed to light, is greater than a quantity of exposure with which a wiring groove 11A in a position spaced away from just above the hole is exposed to the light.
    Type: Application
    Filed: November 13, 2006
    Publication date: February 7, 2008
    Applicant: FUJITSU LIMITED
    Inventors: Fumitoshi Sugimoto, Kiyoshi Ozawa
  • Patent number: 7316978
    Abstract: A method for forming a recess. The method includes providing a substrate with two protrusions having a first side wall and a second side wall opposite to the first side wall disposed above the substrate, conformally forming a mask layer on the substrate and the protrusions, tilt implanting the mask layer using a first implanting mask adjacent to the first side wall of the protrusions, removing implanted portions of the mask layer to form a patterned mask layer, and etching the substrate using the patterned mask layer, thereby forming a recess.
    Type: Grant
    Filed: August 2, 2005
    Date of Patent: January 8, 2008
    Assignee: Nanya Technology Corporation
    Inventors: Pei-Ing Lee, Chung-Yuan Lee, Chien-Li Cheng
  • Patent number: 7311850
    Abstract: In a method of forming a patterned thin film, first, an etching stopper film and a film to be patterned are formed in this order on a base layer. Next, a patterned first film is formed on the film to be patterned. Next, a second film is formed over an entire surface on top of the film to be patterned and the first film. Then, by removing the first film, an etching mask is obtained from the second film formed on the film to be patterned. The film to be patterned is selectively etched through dry etching using the etching mask. A patterned thin film having a groove is thereby obtained.
    Type: Grant
    Filed: October 17, 2002
    Date of Patent: December 25, 2007
    Assignee: TDK Corporation
    Inventors: Akifumi Kamijima, Yoichi Ishida, Koichi Terunuma
  • Patent number: 7312138
    Abstract: A method of manufacturing a MOS transistor incorporating a silicon oxide film serving as a gate insulating film and containing nitrogen and a polycrystalline silicon film serving as a gate electrode and containing a dopant and arranged such that the gate electrode is formed on the gate electrode insulating film, and an oxidation process using ozone is performed to sufficiently round the shape of the lower edge of the gate electrode.
    Type: Grant
    Filed: April 23, 2007
    Date of Patent: December 25, 2007
    Assignee: Kabushiki Kaisha Toshiba
    Inventors: Yoshio Ozawa, Yasumasa Suizu, Yoshitaka Tsunashima
  • Patent number: 7297568
    Abstract: A three-dimensional structure composed of highly-reliable silicon ultrafine wires, a method for producing the three-dimensional structure, and a device including the same are provided. The three-dimensional structure composed of silicon fine wires includes wires (2) on the order of nanometers to micrometers formed by wet etching utilizing the crystallinity of a single-crystal material.
    Type: Grant
    Filed: June 2, 2003
    Date of Patent: November 20, 2007
    Assignee: Japan Science and Technology Agency
    Inventors: Hideki Kawakatsu, Dai Kobayashi
  • Patent number: 7268054
    Abstract: Methods and structures are provided for increasing alignment margins when contacting pitch multiplied interconnect lines with other conductive features in memory devices. The portions of the lines at the periphery of the memory device are formed at an angle and are widened relative to the portions of the lines in the array region of the memory device. The widened lines allow for an increased margin of error when overlaying other features, such as landing pads, on the lines. The possibility of contacting and causing electrical shorts with adjacent lines is thus minimized. In addition, forming the portions of the lines in the periphery at an angle relative to the portions of the lines in the array regions allows the peripheral portions to be widened while also allowing multiple landing pads to be densely packed at the periphery.
    Type: Grant
    Filed: July 31, 2006
    Date of Patent: September 11, 2007
    Assignee: Micron Technology, Inc.
    Inventors: Luan Tran, Bill Stanton
  • Patent number: 7259023
    Abstract: A phase change memory may be formed to have a dimension that is sub-lithographic in one embodiment by forming a surface feature over the phase change material, and coating the surface feature with a mask of sub-lithographic dimensions. The horizontal portions of the mask and the surface feature may then be removed and the remaining portions of the mask may be used to define a dimension of said phase change material. Another dimension of the phase change material may be defined using an upper electrode extending over said phase change material as a mask to etch the phase change material.
    Type: Grant
    Filed: September 10, 2004
    Date of Patent: August 21, 2007
    Assignee: Intel Corporation
    Inventors: Charles C. Kuo, Ilya Karpov, Yudong Kim, Greg Atwood
  • Patent number: 7253057
    Abstract: A present invention is a method, and resulting device, for fabricating memory cells with an extremely small area and reduced standby current. The small area is accomplished by a judicious use of spacers which allows a tunnel window of a storage device to be fabricated in close proximity to an associated select gate and with a reduced gate width compared to typical devices. The tunnel window is recessed within an upper surface of a substrate. The tunnel window recess is made possible by selective etching of the substrate and oxides covering the substrate. A substantial reduction in the size of a tunnel window means device scaling is possible far beyond what is attainable with standard photolithography. Standby current is reduced significantly by fabricating a select device with complementary material types for the gate compared with the adjacent source/drain regions.
    Type: Grant
    Filed: April 6, 2006
    Date of Patent: August 7, 2007
    Assignee: Atmel Corporation
    Inventor: Bohumil Lojek
  • Patent number: 7253012
    Abstract: A semiconductor manufacturing method comprises forming a leveling guard ring defining an interior area into which are fabricated one or more devices. In certain aspects, two or more matched devices, such as in a common centroid layout, are fabricated in the interior area. The guard ring is formed on at least one particular layer for a particular processing step. By the guard ring overwhelming the effect of local features' elevation differences, photoresist thereafter applied consequently has a more uniform height across the interior area, resulting in more uniform devices. A plurality of guard rings may be used that enclose respective arrays of matched devices arranged over the surface of a semiconductor wafer. Based on the equalizing effect by each of the guard rings, the respective devices arranged in the interior areas are more evenly matched to equivalent devices in far-spaced guard rings. Thus, local and global matching are achieved.
    Type: Grant
    Filed: September 14, 2004
    Date of Patent: August 7, 2007
    Assignee: Agere Systems, Inc.
    Inventors: Daniel Charles Kerr, Roscoe T. Luce, Michele Marie Jamison, Alan Sangone Chen, William A. Russell
  • Publication number: 20070166971
    Abstract: Method for forming silicon structures, such as upright gates or fins on a wafer substrate, particularly for use as a building block for semiconductor devices. The structures are smaller than can be resolved by conventional optical lithography. A plan of the area-wise dimensions of the fin or gate structure is mapped to a substrate as an ideal, Conductive and insulative layers are deposited onto the substrate and a work region that includes the desired structure is designed by photolithography. An opening is etched in the work region and a frame is created protective of the desired structure. Most of the frame is etched away except over the structure and then this portion is used to protect the structure so that remaining material can be removed until only the gate over the substrate remains. This process is carried out in many places over a wafer with the structures preferably aligned in rows and columns for making memory or logic arrays.
    Type: Application
    Filed: June 20, 2006
    Publication date: July 19, 2007
    Applicant: ATMEL CORPORATION
    Inventor: Bohumil Lojek
  • Patent number: 7241683
    Abstract: A method for forming features in an etch layer is provided. A first mask is formed over the etch layer where the first mask defines a plurality of spaces with widths. The first mask is laterally etched where the etched first mask defines a plurality of spaces with widths that are greater than the widths of the spaces of the first mask. A sidewall layer is formed over the etched first mask where the sidewall layer defines a plurality of spaces with widths that are less than the widths of the spaces defined by the etched first mask. Features are etched into the etch layer through the sidewall layer, where the features have widths that are smaller than the widths of the spaces defined by the etched first mask. The mask and sidewall layer are removed.
    Type: Grant
    Filed: March 8, 2005
    Date of Patent: July 10, 2007
    Assignee: Lam Research Corporation
    Inventors: Eric Hudson, S. M. Reza Sadjadi