Subphotolithographic Processing Patents (Class 438/947)
  • Patent number: 7227171
    Abstract: A contact structure, including a first conducting region having a first thin portion with a first sublithographic dimension in a first direction; a second conducting region having a second thin portion with a second sublithographic dimension in a second direction transverse to said first direction; the first and second thin portions being in direct electrical contact and defining a contact area having a sublithographic extension. The thin portions are obtained using deposition instead of lithography: the first thin portion is deposed on a wall of an opening in a first dielectric layer; the second thin portion is obtained by deposing a sacrificial region on vertical wall of a first delimitation layer, deposing a second delimitation layer on the free side of the sacrificial region, removing the sacrificial region to form a sublithographic opening that is used to etch a mold opening in a mold layer and filling the mold opening.
    Type: Grant
    Filed: December 5, 2002
    Date of Patent: June 5, 2007
    Assignees: STMicroelectronics S.r.l., Ovonyx, Inc.
    Inventors: Roberto Bez, Fabio Pellizzer, Caterina Riva, Romina Zonca
  • Patent number: 7186649
    Abstract: A method of forming a pattern finer than an existing pattern in a semiconductor device using an existing light source and a hard mask, and a method of removing the hard mask which is used as an etching mask. The method includes forming an oxide layer on a substrate; forming a polysilicon layer on the oxide layer; forming a hard mask on the polysilicon layer; depositing photoresist on the hard mask and patterning the hard mask by using the photoresist; and etching the polysilicon layer using the pattern embodied by the hard mask. By fabricating a gate oxide with a finer linewidth using a hard mask and existing equipment, the present invention can control the linewidth required in each product by using an etching process, and, therefore, has advantages such as expandability of process, extension of generality, and maximization of productivity in the production line.
    Type: Grant
    Filed: December 31, 2003
    Date of Patent: March 6, 2007
    Assignee: Dongbu Electronics Co. Ltd.
    Inventors: Joon Bum Shim, Han Gyoo Hwang, Kang-Hyun Lee
  • Patent number: 7183205
    Abstract: Roughly described, a patterned first layer is provided over a second layer which is formed over a substrate. In a conversion process, first layer material is consumed at feature sidewalls to form third layer material at the feature sidewalls. The width of third layer material at each of the sidewalls is greater than the width of first layer material consumed at the respective sidewall in the conversion process. The second layer is patterned using the third layer material as mask. A fourth layer of material is formed over the substrate, and planarized or otherwise partially removed so as to expose the top surfaces of the features in the first layer through the fourth layer. The exposed first layer material is removed to expose portions of the second layer through the fourth layer, and the second layer is further patterned using the fourth layer material as a mask.
    Type: Grant
    Filed: June 8, 2004
    Date of Patent: February 27, 2007
    Assignee: Macronix International Co., Ltd.
    Inventor: Shih Ping Hong
  • Patent number: 7179748
    Abstract: A method for forming a recess. The method includes providing a substrate with two protrusions having a first side wall and a second side wall opposite to the first side wall disposed above the substrate, conformally forming a mask layer on the substrate and the protrusions, tilt implanting the mask layer with a first angle using a first implanting mask adjacent to the first side wall of the protrusions, tilt implanting the mask layer with a second angle using a second implanting mask adjacent to the second side wall of the protrusions, removing implanted portions of the mask layer to form a patterned mask layer, and etching the substrate using the patterned mask layer, thereby forming a recess, wherein distances from the recess to the two protrusions, respectively, are different.
    Type: Grant
    Filed: August 2, 2005
    Date of Patent: February 20, 2007
    Assignee: Nanya Technology Corporation
    Inventors: Pei-Ing Lee, Chung-Yuan Lee, Chien-Li Cheng
  • Patent number: 7166232
    Abstract: According to a method for producing a solid body (1) including a microstructure (2), the surface of a substrate (3) is provided with a masking layer (6) that is impermeable to a substance to be applied. The substance is then incorporated into the substrate regions not covered by the masking layer (6). A heat treatment is used to diffuse the substance into a substrate region covered by the masking layer (6) such that a concentration gradient of the substance is created in the substrate region covered by the masking layer (6), proceeding from the edge of the masking layer (6) inward with increasing distance from the edge. The masking layer (6) is then removed to expose the substrate region under this layer, and a near-surface layer of the substrate (3) in the exposed substrate region is converted by a chemical conversion reaction into a coating (9) which has a layer thickness profile corresponding to the concentration gradient of the substance contained in this near-surface layer.
    Type: Grant
    Filed: December 21, 2000
    Date of Patent: January 23, 2007
    Assignee: Micronas GmbH
    Inventors: Guenter Igel, Mirko Lehmann
  • Patent number: 7157377
    Abstract: A semiconductor device is made by patterning a conductive layer for forming gates of transistors. The process for forming the gates has a step of patterning photoresist that overlies the conductive layer. The patterned photoresist is trimmed so that its width is reduced. Fluorine, preferably F2, is applied to the trimmed photoresist to increase its hardness and its selectivity to the conductive layer. Using the trimmed and fluorinated photoresist as a mask, the conductive layer is etched to form conductive features useful as gates. Transistors are formed in which the conductive pillars are gates. Other halogens, especially chlorine, may be substituted for the fluorine.
    Type: Grant
    Filed: February 13, 2004
    Date of Patent: January 2, 2007
    Assignee: Freescale Semiconductor, Inc.
    Inventors: Cesar M. Garza, William D. Darlington, Stanley M. Filipiak, James E. Vasek
  • Patent number: 7151040
    Abstract: Methods and structures are provided for increasing alignment margins when contacting pitch multiplied interconnect lines with other conductive features in memory devices. The portions of the lines at the periphery of the memory device are formed at an angle and are widened relative to the portions of the lines in the array region of the memory device. The widened lines allow for an increased margin of error when overlaying other features, such as landing pads, on the lines. The possibility of contacting and causing electrical shorts with adjacent lines is thus minimized. In addition, forming the portions of the lines in the periphery at an angle relative to the portions of the lines in the array regions allows the peripheral portions to be widened while also allowing multiple landing pads to be densely packed at the periphery.
    Type: Grant
    Filed: August 31, 2004
    Date of Patent: December 19, 2006
    Assignee: Micron Technology, Inc.
    Inventors: Luan Tran, Bill Stanton
  • Patent number: 7122455
    Abstract: For patterning an IC (integrated circuit) material, a rigid organic under-layer is formed over the IC material, and the rigid organic under-layer is patterned to form a rigid organic mask structure. In addition, the rigid organic mask structure is trimmed to lower a critical dimension of the rigid organic mask structure beyond the limitations of traditional BARC mask structures. Any portion of the IC material not under the rigid organic mask structure is etched away to form an IC structure.
    Type: Grant
    Filed: March 1, 2004
    Date of Patent: October 17, 2006
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Christopher F. Lyons, Marina V. Plat, Srikanteswara Dakshina-Murthy, Scott A. Bell, Cyrus E. Tabery
  • Patent number: 7115532
    Abstract: This invention comprises methods of forming patterned photoresist layers over semiconductor substrates. In one implementation, a semiconductor substrate is provided. An antireflective coating is formed over the semiconductor substrate. The antireflective coating has an outer surface. The outer surface is treated with a basic fluid. A positive photoresist is applied onto the outer surface which has been treated with the basic treating fluid. The positive photoresist is patterned and developed effective to form a patterned photoresist layer having increased footing at a base region of said layer than would otherwise occur in the absence of said treating the outer surface. Other aspects and implementations are contemplated.
    Type: Grant
    Filed: September 5, 2003
    Date of Patent: October 3, 2006
    Assignee: Micron Technolgoy, Inc.
    Inventor: Jon P. Daley
  • Patent number: 7105099
    Abstract: A method of reducing pattern pitch is provided. A material layer, a hard mask layer and a patterned photoresist layer are sequentially formed over a substrate. Using the patterned photoresist layer as etching mask, the hard mask layer is etched. Due to the trenching effect, a residual hard mask layer remains in an exposed region exposed by the photoresist layer and micro-trenches are formed at the edges of the residual hard mask layer. Thereafter, using the residual hard mask layer as etching mask to pattern the material layer. Finally, the patterned photoresist layer and the hard mask layer are removed. In the invention, the trenching effect is utilized when etching the hard mask layer. A portion of the hard mask layer remains, and the micro-trenches are formed in the hard mask layer. After the micro-trenches are transferred to the material layer, the pattern pitch can be reduced.
    Type: Grant
    Filed: July 14, 2004
    Date of Patent: September 12, 2006
    Assignee: MACRONIX International Co., Ltd.
    Inventors: Henry Chung, Ming-Chung Liang, An-Chi Wei, Shin-Yi Tsai, Kuo-Liang Wei
  • Patent number: 7071085
    Abstract: The invention includes an apparatus and a method of manufacturing such apparatus including the steps of: forming a layer to be patterned, forming a photosensitive layer over the layer to be patterned, patterning the photosensitive layer to form a pattern including a horizontal line and a vertical line without a space therebetween, transferring the pattern to the layer to be patterned, forming a second photosensitive layer over the pattern, patterning the second photosensitive layer to form a second pattern including a space aligned between the horizontal line and the vertical line, and transferring the second pattern to the layer to be patterned to form a third pattern including a horizontal line and a vertical line with a space therebetween, the space including a width dimension achievable at a resolution limit of lithography.
    Type: Grant
    Filed: May 25, 2004
    Date of Patent: July 4, 2006
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Todd P. Lukanc, Luigi Capodieci, Christopher A. Spence, Joerg Reiss, Sarah N. McGowan
  • Patent number: 7060567
    Abstract: A method for fabricating trench power MOSFET is described. An epitaxial layer and a mask layer having a first opening are sequentially formed on a substrate. A pair of spacers is formed on the sidewalls of the first opening. A second opening exposing the surface of the epitaxial layer is formed by removing a portion of the mask layer. The spacers are removed and then a trench is formed in the epitaxial layer using the mask layer as a mask. The mask layer is removed and a gate oxide layer is formed over the epitaxial layer and the surface of the trench. A gate layer is formed to fill the trench. A body well region is formed in the epitaxial layer adjacent to the sidewalls of the trench. A source region is formed in the body well region on each side at the top of the trench.
    Type: Grant
    Filed: July 26, 2005
    Date of Patent: June 13, 2006
    Assignee: Episil Technologies Inc.
    Inventor: Hsiu-Wen Hsu
  • Patent number: 7026247
    Abstract: A self-correcting etching (SCORE) process for fabricating microstructure is provided. The SCORE process of the present invention is particularly useful for reducing preselected features of a hard mask without degrading the variation of the critical dimension (CD) within each wafer. Alternatively, the CD variation of the hard mask features' produced during printing can be substantially reduced by applying SCORE. Hence, ultra-sub-lithographic features (e.g., nanostructures) can be reliably fabricated. Consequently, the method of the present invention can be used to increase the circuit performance, while improving the manufacturing yield.
    Type: Grant
    Filed: October 28, 2003
    Date of Patent: April 11, 2006
    Assignee: International Business Machines Corporation
    Inventors: Omer H. Dokumaci, Oleg Gluschenkov
  • Patent number: 7026259
    Abstract: A liquid-filled balloon may be positioned between a workpiece, such as a semiconductor structure covered with a photoresist, and a lithography light source. The balloon includes a thin membrane that exhibits good optical and physical properties. Liquid contained in the balloon also exhibits good optical properties, including a refractive index higher than that of air. Light from the lithography light source passes through a mask, through a top layer of the balloon membrane, through the contained liquid, through a bottom layer of the balloon membrane, and onto the workpiece where it alters portions of the photoresist. As the liquid has a low absorption and a higher refractive index than air, the liquid-filled balloon system enhances resolution. Thus, the balloon provides optical benefits of liquid immersion without the complications of maintaining a liquid between (and in contact with) a lithographic light source mechanism and workpiece.
    Type: Grant
    Filed: January 21, 2004
    Date of Patent: April 11, 2006
    Assignee: International Business Machines Corporation
    Inventors: Mark C. Hakey, David V. Horak, Charles W. Koburger, III, Peter H. Mitchell
  • Patent number: 7015139
    Abstract: A quantum device is constituted from a two-dimensional array of quantum dots formed from metal atom aggregates contained in a metalloprotein complex. The metalloprotein is arranged on the surface of a substrate having an insulation layer with a pitch of the size of the metalloprotein complex. The diameter of the metal atom aggregates used in the quantum device is 7 nm or smaller, and the pitch of the metalloprotein complex is preferably from 11 to 14 nm.
    Type: Grant
    Filed: June 9, 2003
    Date of Patent: March 21, 2006
    Assignee: Matsushita Electric Industrial Co., Ltd.
    Inventor: Ichiro Yamashita
  • Patent number: 6962825
    Abstract: Disclosed is an exposure apparatus for printing, by exposure, a pattern of an original on a substrate, which includes a housing tightly filled with a predetermined ambience and for accommodating therein at least a portion of an exposure light optical axis, and a detection system having an optical system, wherein a portion of a light path of the detection system is disposed in a first space enclosed by the housing, and wherein at least another portion of the detection system including an electric element thereof is disposed in a second space outside the housing.
    Type: Grant
    Filed: March 29, 2001
    Date of Patent: November 8, 2005
    Assignee: Canon Kabushiki Kaisha
    Inventor: Eiichi Murakami
  • Patent number: 6955961
    Abstract: A method for defining a minimum pitch in an integrated circuit beyond photolithographic resolution controls the defined pitches of the target layer by use of polymer spacer, photo-insensitive polymer plug and polymer mask during the process, so as to achieve the minimum pitch of the target layer beyond photolithographic resolution. Applied to memory manufacture, this method is capable of simultaneously overcoming the process difficulty of significant difference between polysilicon pitches in memory array region and periphery region.
    Type: Grant
    Filed: May 27, 2004
    Date of Patent: October 18, 2005
    Assignee: Macronix International Co., Ltd.
    Inventor: Henry Chung
  • Patent number: 6946391
    Abstract: A method for forming a dual damascene structure in a semiconductor device manufacturing process including providing a process wafer including a via opening extending through at least one dielectric insulating layer; blanket depositing a negative photoresist layer to include filling the via opening; blanket depositing a positive photoresist layer over and contacting the negative photoresist layer; photolithographically patterning the positive photoresist layer to form a trench opening etching pattern overlying and encompassing the via opening; etching back the negative photoresist layer to form a via plug having a predetermined thickness; and, etching a trench opening according to the trench opening etching pattern.
    Type: Grant
    Filed: September 8, 2003
    Date of Patent: September 20, 2005
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd
    Inventors: Wei-Kung Tsai, Po-Yueh Tsai
  • Patent number: 6894352
    Abstract: A method for fabricating a single-electron transistor (SET). A one dimensional channel is formed between source and drain on a silicon-on-insulator substrate, and the separated polysilicon sidewall spacer gates are formed by electron-beam lithographically etching process in a self-aligned manner. Operation of the single-electron transistor with self-aligned polysilicon sidewall spacer gates is achieved by applying external bias to the self-aligned polysilicon sidewall spacer gates to form two potential barriers and a quantum dot capable of storage charges between the two potential barriers. A metal upper gate is finally formed and biased to induce a two-dimensional electron gas (2DEG) and control the energy level of the quantum well.
    Type: Grant
    Filed: June 25, 2003
    Date of Patent: May 17, 2005
    Inventors: Shu-Fen Hu, Yung-Chun Wu, Wen-Tai Lu, Shiue-Shin Liu, Tiao-Yuan Huang, Tien-Sheng Chao
  • Patent number: 6887395
    Abstract: A method is provided for forming sub-micron-size structures over a substrate. A width-defining step is formed over the substrate. A width-defining layer is formed over an edge of the width-defining step. The width-defining layer is etched back to leave a spacer adjacent the width-defining step. A length-defining step is formed over the substrate. A length-defining layer is formed over an edge of the length-defining step. The length-defining layer is etched back to leave a spacer adjacent a first edge of the length-defining step and across a first portion of the spacer left by the width-defining layer. The length-defining step is then removed. The spacer left by the width-defining layer is then etched with the spacer left by the length-defining layer serving as a mask, to form the structure.
    Type: Grant
    Filed: February 10, 2003
    Date of Patent: May 3, 2005
    Assignee: Intel Corporation
    Inventors: Scott A. Hareland, Brian S. Doyle, Robert S. Chau
  • Patent number: 6878646
    Abstract: A method of reducing the critical dimension (CD) of a hard mask by a wet etch method is described. An oxide hard mask is treated with a H2SO4/H2O2 (SPM) solution followed by treatment with a NH4OH/H2O2/H2O (APM) solution to trim the CD by 0 to 20 nm. With nitride or oxynitride hard masks, a buffered HF dip is inserted prior to the SPM treatment. For oxide hard masks, the SPM solution performs the etch while APM solution assists in removing plasma etch residues. With oxynitride hard masks, the APM performs the etch while BHF and SPM solutions remove plasma etch residues. The hard mask pattern can then be transferred with a dry etch into an underlying polysilicon layer to form a gate length of less than 150 nm while controlling the CD to within 3 to 5 nm of a targeted value.
    Type: Grant
    Filed: October 16, 2002
    Date of Patent: April 12, 2005
    Assignee: Taiwan Semiconductor Manufacturing Company
    Inventors: Chao-Tzung Tsai, Jia-Sheng Wu, Fuxuan Fang
  • Patent number: 6869899
    Abstract: The invention relates generally to lithographic patterning of very small features. In particular, the invention relates generally to patterning of semiconductor circuit features smaller than lithographically defined using either conventional optical lithography or next generation lithography techniques. The invention relates more particularly, but not by way of limitation, to lateral trimming of photoresist images.
    Type: Grant
    Filed: July 12, 2001
    Date of Patent: March 22, 2005
    Assignee: International Business Machines Corporation
    Inventors: Arpan P. Mahorowala, Maheswaran Surendra, Jung H. Yoon, Ying Zhang
  • Patent number: 6858542
    Abstract: A semiconductor fabrication method that includes forming a film (109) comprising an imaging layer (112) and an under layer (110) over a semiconductor substrate (102). The imaging layer (112) is patterned to produce a printed feature (116) having a printed dimension (124). The under layer (110) is then processed to produce a sloped sidewall void (120) in the under layer (110) wherein the void (120) has a finished dimension (126) in proximity to the underlying substrate that is less than the printed dimension. Processing the under layer (110) may include exposing the wafer to high density low pressure N2 plasma.
    Type: Grant
    Filed: January 17, 2003
    Date of Patent: February 22, 2005
    Assignee: Freescale Semiconductor, Inc.
    Inventors: Terry G. Sparks, Ajay Singhal, Kirk J. Strozewski
  • Patent number: 6849487
    Abstract: A method of forming a conductive structure having a length that is less than the length define by photolithographic patterning. A silicon layer (12) is formed in a MeOx dielectric layer (11) is photolithographically patterned to a predetermined first length. A metal layer (31) is formed conformally to at least the sidewalls of the silicon layer and then is reacted with the silicon to form a metal silicide (41). In particular, metal silicide abutments (411,412) are formed contiguous to sidewalls (421,422) of a reduced conductor (42). The remaining metal layer and the metal silicide are etched away, resulting in a conductor having predetermined second length that is less than the predetermined first length.
    Type: Grant
    Filed: May 27, 2003
    Date of Patent: February 1, 2005
    Assignee: Motorola, Inc.
    Inventors: William J. Taylor, Jr., Olubunmi O. Adetutu, Steven G. H. Anderson
  • Patent number: 6844268
    Abstract: A semiconductor device of the present invention is a semiconductor memory having a charge storage film. Recesses or holes which effectively increase the capacitance of a floating gate or a memory cell capacitor are formed in the charge storage film. These recesses or holes are formed at the same time the floating gate electrode or the lower electrode of the capacitor is isolated into the form of islands. A dielectric film and a polysilicon film is formed on the isolated island floating gate electrodes or lower electrodes. These recesses or holes increase the surface area of the dielectric film and improve the write and erase characteristics of a memory cell.
    Type: Grant
    Filed: September 1, 1999
    Date of Patent: January 18, 2005
    Assignee: Nippon Steel Corporation
    Inventor: Fumitaka Sugaya
  • Patent number: 6815308
    Abstract: An alignment mark mask element protects an underlying alignment mark during subsequent processing of the fabrication substrate. The alignment mark mask element is formed concurrent with formation of a photomask from a dual-tone photoresist that exhibits a pattern reversal upon exposure to an energy level. A portion of the dual-tone photoresist above the alignment mark is exposed to an energy sufficient to reverse a positive tone resist to a negative tone, which remains above the alignment mark after developing. The remainder of the dual-tone photoresist is exposed through a reticle at a lesser energy level and patterned to define aperture locations of a photomask for formation of semiconductor device features. In addition, a photomask for use on a fabrication substrate and an intermediate semiconductor device are disclosed. Methods of forming a photomask and an intermediate semiconductor device structure are also disclosed.
    Type: Grant
    Filed: August 15, 2002
    Date of Patent: November 9, 2004
    Assignee: Micron Technology, Inc.
    Inventors: Richard D. Holscher, Niroomand Ardavan
  • Publication number: 20040198030
    Abstract: A blocking layer is formed on a hard mask having an initial thickness. Lines are fabricated by patterning the blocking layer and the hard mask to provide a line segment, the line segment having a first dimension measured across the line segment; reacting a surface layer of the line segment to form a layer of a reaction product on a remaining portion of the line segment; and removing the reaction product without attacking the remaining portion of the line segment and without attacking the blocking layer and the substrate to form the line segment with a second dimension across the line segment that is smaller than the first dimension. The blocking layer prevents the formation of reaction product on the hard mask so that the initial thickness of the hard mask is maintained. The blocking layer can also serve as an ARC layer for photoresist patterning so that the use of an additional film layer is not required.
    Type: Application
    Filed: November 20, 2001
    Publication date: October 7, 2004
    Applicant: International Business Machines Corporation
    Inventors: Frederick W. Buehrer, Derek Chen, William Chu, Scott Crowder, Sadanand V. Deshpande, David V. Horak, Wesley C. Natzle, Hung Y. Ng, Len Y. Tsou, Chienfan Yu
  • Patent number: 6784005
    Abstract: Photoresist reflow for an enhanced process window for non-dense contacts is disclosed. A corrective bias is determined for application to each of a number of contacts at different pitches, to achieve a substantially identical critical dimension for each contact. The corrective bias is determined based on a first and a second critical dimension for each contact, where the first critical dimension is before photoresist reflow, and potentially inclusive of optical proximity effects, and the second critical dimension is after photoresist reflow. A photomask is then constructed for a semiconductor design that incorporates the corrective bias that has been determined for the contacts of the design. Lithographical processing of the semiconductor design on a semiconductor wafer using thus photomask, and subsequent photoresist reflow, thus achieves a substantially identical critical dimension for each of the contacts of the semiconductor design.
    Type: Grant
    Filed: February 16, 2002
    Date of Patent: August 31, 2004
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd
    Inventors: Huan-Tai Lin, Shinn-Sheng Yu, Anthony Yen
  • Patent number: 6780781
    Abstract: A method for manufacturing an electronic device is provided. In one example of the method, the method prevents deformation of a resist mask caused by the irradiation of exposure light. The resist mask has a resist as an opaque element, and can afford mask patterns undergoing little change even with an increase in the number of wafers subjected to exposure processing. The resist mask maintains a high dimensional accuracy. A photomask pattern is formed using as an opaque element a resist comprising a base resin and Si incorporated therein or a resist with a metal such as Si incorporated thereby by a silylation process, to improve the resistance to active oxygen. The deformation of a resist opaque pattern in a photomask is prevented. The dimensional accuracy of patterns transferred onto a Si wafer is improved in repeated use of the photomask.
    Type: Grant
    Filed: May 27, 2003
    Date of Patent: August 24, 2004
    Assignee: Renesas Technology Corporation
    Inventors: Takahiro Odaka, Toshihiko Tanaka, Takashi Hattori, Hiroshi Fukuda
  • Patent number: 6764946
    Abstract: Disclosed is a method of forming an integrated circuit line on a wafer using a lithographic technique. The method can include forming a photo resist line having a line width smaller than a desired line width of the integrated circuit line. The photo resist line can be reacted with a coating to form a mask line having a line width corresponding to the desired line width of the integrated circuit line and with a smaller line edge roughness (LER) than of the photo resist line.
    Type: Grant
    Filed: October 1, 2003
    Date of Patent: July 20, 2004
    Assignee: Advanced Micro Devices, Inc.
    Inventor: Gilles Amblard
  • Patent number: 6750100
    Abstract: A method of forming a memory device includes preparing a substrate having predefined characteristics; forming a first layer set on the substrate, including: building a first forming layer, having first form segments, on the substrate; building placeholder sidewalls on the first form segments wherein the sidewalls have a thickness of between about one nm and 100 nm; building a second forming layer, having second form segments, on the substrate between the placeholder sidewalls; removing the placeholder sidewalls forming vacated areas; and building active devices in the vacated areas.
    Type: Grant
    Filed: August 27, 2001
    Date of Patent: June 15, 2004
    Assignee: Sharp Laboratories of America, Inc.
    Inventors: Sheng Teng Hsu, Tomoya Baba, Tetsuya Ohnishi
  • Patent number: 6750150
    Abstract: A semiconductor manufacturing method that includes defining a substrate, depositing a polysilicon layer over the substrate, depositing a layer of photoresist over the polysilicon layer, patterning and defining the photoresist layer, depositing a layer of inorganic material over the patterned and defined photoresist layer, wherein the layer of inorganic material is conformal and photo-insensitive, and anisotropic etching the layer of inorganic material and the layer of semiconductor material.
    Type: Grant
    Filed: October 18, 2001
    Date of Patent: June 15, 2004
    Assignee: Macronix International Co., Ltd.
    Inventors: Henry Wei-Ming Chung, Shin-Yi Tsai, Ming-Chung Liang
  • Patent number: 6743712
    Abstract: A method for making a semiconductor device is described. That method includes forming a sacrificial layer on a substrate, then forming a layer of photoresist on the sacrificial layer. After the photoresist layer is patterned, to form a patterned photoresist layer that has a first opening, part of the sacrificial layer is removed to generate an etched sacrificial layer that has a second opening that is substantially smaller than the first opening.
    Type: Grant
    Filed: July 12, 2002
    Date of Patent: June 1, 2004
    Assignee: Intel Corporation
    Inventors: Hyun-Mog Park, Jihperng Leu, Chih-I Wu
  • Patent number: 6730567
    Abstract: A method for forming edge-defined structures with sub-lithographic dimensions which are used to further form conduction channels and/or storage structures in memory cells. Sacrificial silicon nitride islands are deposited at low temperatures and then patterned and etched by high resolution etching techniques. Polysilicon is next deposited over the sacrificial silicon nitride islands and directionally etched to form edge-defined polysilicon dot and strip structures which are about one tenth the minimum feature size. The edge-defined polysilicon strips and dots are formed between the source and drain region of an NMOS device. Subsequent to the removal of the sacrificial silicon nitride islands, the edge-defined polysilicon strips and dots are used to mask a threshold voltage implantation in a conventional CMOS process. A conduction channel and two adjacent potential minimum dots are formed after the removal of the edge-defined polysilicon strips and dots.
    Type: Grant
    Filed: March 11, 2002
    Date of Patent: May 4, 2004
    Assignee: Micron Technology, Inc.
    Inventors: Leonard Forbes, Kie Y. Ahn
  • Patent number: 6727195
    Abstract: A method and system for providing a semiconductor device is disclosed. The method and system include providing a semiconductor substrate and providing a plurality of lines separated by a plurality of spaces. Each of the plurality of spaces preferably has a first width that is less than a minimum feature size. In one aspect, the method and system include providing a reverse mask having a plurality of apertures on an insulating layer. In this aspect, the method and system also include trimming the reverse mask to increase a size of each of the plurality of apertures, removing a portion of the insulating layer exposed by the plurality of trimmed apertures to provide a plurality of trenches and providing a plurality of lines in the plurality of trenches. In a second aspect, the method and system include providing a reverse mask on the insulating layer and removing a first portion of the insulating layer exposed by the plurality of apertures to provide a plurality of trenches.
    Type: Grant
    Filed: February 6, 2001
    Date of Patent: April 27, 2004
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Michael K. Templeton, Mark S. Chang
  • Patent number: 6713348
    Abstract: A method used during the formation of a semiconductor device comprises the steps of forming a polycrystalline silicon layer over a semiconductor substrate assembly and forming a silicon nitride layer over the polycrystalline silicon layer. A silicon dioxide layer is formed over the silicon nitride layer and the silicon dioxide and silicon nitride layers are patterned using a patterned mask having a width, thereby forming sidewalls in the two layers. The nitride and oxide layers are subjected to an oxygen plasma which treats the sidewalls and leaves a portion of the silicon nitride layer between the sidewalls untreated. The silicon dioxide and the untreated portion of the silicon nitride layer are removed thereby resulting in pillars of treated silicon nitride. Finally, the polycrystalline silicon is etched using the pillars as a mask. The patterned polycrystalline silicon layer thereby comprises features having widths narrower than the width of the original mask.
    Type: Grant
    Filed: November 12, 2002
    Date of Patent: March 30, 2004
    Assignee: Micron Technology, Inc.
    Inventors: David Y. Kao, Li Li
  • Patent number: 6699800
    Abstract: An assist pattern design method for lithography C/H process that includes the following steps: determining the exposure wavelength of a lithography machine light source; determining a minimum resolution line width by the sigma, process integration parameter and numerical aperture of the lithography machine; recovering the minimum line width on a mask according to the miniature scale of the determined minimum resolution line width; and using a line pattern smaller than the recovered minimum line width to connect multiply C/H patterns on the mask.
    Type: Grant
    Filed: March 28, 2002
    Date of Patent: March 2, 2004
    Assignee: Nanya Technology Corporation
    Inventor: Yuan-Hsun Wu
  • Patent number: 6673714
    Abstract: A method of fabricating a sub-lithographic sized via is disclosed. A dual-polymer method is used to form a stacked layer of polymer materials wherein a first polymer layer has a first etch rate and a second polymer layer has a second etch rate. The first etch rate is preselected to be faster than the second etch rate when the first and second polymer layers are isotropically etched. The second polymer layer is made from a photo active material and is operative as an etch mask for the first photoresist layer. The etching is continued until the first polymer layer has a sub-lithographic feature size that is less than a lithography limit of a lithography system. A dielectric material is deposited on the etch mask and the first polymer layer. The first polymer layer is lifted-off to define a sub-lithographic sized via.
    Type: Grant
    Filed: April 25, 2002
    Date of Patent: January 6, 2004
    Assignee: Hewlett-Packard Development Company, L.P.
    Inventors: Heon Lee, Thomas C. Anthony, Lung T. Tran
  • Patent number: 6664173
    Abstract: An electrical element may be made by providing a hardmask unit that has a double gate stack with a first gate layer, a first hardmask layer formed over the first gate layer, a second gate layer formed over the first hardmask layer, and a second hardmask layer formed over the second gate layer. A first spacer for a first element is formed at a location at least partially determined by the presence of the second hardmask layer, and a second structure for a second element is formed at a location at least partially determined by the presence of the first hardmask layer.
    Type: Grant
    Filed: January 9, 2002
    Date of Patent: December 16, 2003
    Assignee: Intel Corporation
    Inventors: Brian Doyle, Mark Doczy, Pat Stokley
  • Publication number: 20030219988
    Abstract: A method is described for decreasing the critical dimensions of integrated circuit features in which a first masking layer (101) is deposited, patterned and opened in the manner of typical feature etching, and a second masking layer (201) is deposited thereon prior to etching the underlying insulator. The second masking layer is advantageously coated in a substantially conformal manner. Opening the second masking layer while leaving material of the second layer on the sidewalls of the first masking layer as spacers leads to reduction of the feature critical dimension in the underlying insulator. Ashable masking materials, including amorphous carbon and organic materials are removable without CMP, thereby reducing costs. Favorable results are also obtained utilizing more than one masking layer (101, 301) underlying the topmost masking layer (302) from which the spacers are formed. Embodiments are also described in which slope etching replaces the addition of a separate spacer layer.
    Type: Application
    Filed: May 22, 2002
    Publication date: November 27, 2003
    Applicant: Applied Materials, Inc.
    Inventors: Hongqing Shan, Kenny L. Doan, Jingbao Liu, Michael S. Barnes, Huong Thanh Nguyen, Christopher Dennis Bencher, Christopher S. Ngai, Wendy H. Yeh, Eda Tuncel, Claes H. Bjorkman
  • Publication number: 20030219924
    Abstract: A contact structure, including a first conducting region having a first thin portion with a first sublithographic dimension in a first direction; a second conducting region having a second thin portion with a second sublithographic dimension in a second direction transverse to said first direction; the first and second thin portions being in direct electrical contact and defining a contact area having a sublithographic extension. The thin portions are obtained using deposition instead of lithography: the first thin portion is deposed on a wall of an opening in a first dielectric layer; the second thin portion is obtained by deposing a sacrificial region on vertical wall of a first delimitation layer, deposing a second delimitation layer on the free side of the sacrificial region, removing the sacrificial region to form a sublithographic opening that is used to etch a mold opening in a mold layer and filling the mold opening.
    Type: Application
    Filed: December 5, 2002
    Publication date: November 27, 2003
    Applicants: STMicroelectronics S.r.l., OVONYX Inc.
    Inventors: Roberto Bez, Fabio Pellizzer, Caterina Riva, Romina Zonca
  • Patent number: 6642074
    Abstract: Simplified method of manufacturing liquid crystal displays. A gate wire including a gate line, a gate pad and a gate electrode is formed on the substrate by using the first mask. A gate insulating layer, a semiconductor layer, a ohmic contact layer and a metal layer are sequentially deposited to make a quadruple layers, and patterned by a dry etch of using the second mask. At this time, the quadruple layers is patterned to have a matrix of net shape layout and covering the gate wire. An opening exposing the substrate is formed in the display area and a contact hole exposing the gate pad is formed in the peripheral area. Next, ITO is deposited and a photoresist layer coated on the ITO. Then, the ITO layer is patterned by using the third mask and a dry etch, and the data conductor layer and the ohmic contact layer not covered by the ITO layer is dry etched.
    Type: Grant
    Filed: June 18, 2002
    Date of Patent: November 4, 2003
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Mun-Pyo Hong, Woon-Yong Park, Jong-Soo Yoon
  • Patent number: 6638441
    Abstract: A method for pitch reduction is disclosed. The method can form a pattern with a pitch ⅓ the original pitch formed by available photolithography technologies by only using one photo mask or one pattern transfer process, self-aligned etching back processes, and conventional deposition processes. By choosing appropriate layers to be deposited and etched, the pattern can be an etching mask or it can be a device structure itself.
    Type: Grant
    Filed: January 7, 2002
    Date of Patent: October 28, 2003
    Assignee: Macronix International Co., Ltd.
    Inventors: Ching-Yu Chang, Wei-Ming Chung
  • Patent number: 6596609
    Abstract: A method of fabricating a feature on a substrate is disclosed. In a described embodiment the feature is the gate electrode of an MOS transistor. In this embodiment a polysilicon layer is formed on the substrate. Next, an edge definition layer of silicon nitride is formed on the feature layer. Then, a patterned edge definition layer of silicon dioxide is formed on the first edge definition layer. Then, a silicon nitride spacer is formed adjacent to an edge of the patterned second edge definition layer. Finally, the polysilicon layer is etched, forming the transistor gate electrode from the polysilicon that remains under the spacer.
    Type: Grant
    Filed: December 19, 2000
    Date of Patent: July 22, 2003
    Assignee: Intel Corporation
    Inventors: Peng Cheng, Brian S. Doyle
  • Patent number: 6589875
    Abstract: In one illustrative embodiment, the method includes providing a wafer including at least one non-production area, forming a process layer above the wafer, forming a masking layer above the process layer, the masking layer being patterned so as to expose a portion of the process layer formed above the at least one non-production area, and performing a process operation on the exposed portion of the process layer formed above the at least one non-production area. In another aspect, the present invention is directed to a system that includes a controller for identifying at least one non-production area of a wafer, a photolithography tool for forming a masking layer above the process layer, the masking layer being patterned so as to expose a portion of the process layer formed above the at least one non-production area, and an etch tool for performing an etching process on the exposed portion of the process layer formed above the at least one non-production area.
    Type: Grant
    Filed: August 2, 2001
    Date of Patent: July 8, 2003
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Christopher A. Bode, Alexander J. Pasadyn
  • Patent number: 6586308
    Abstract: A method for producing circuit structures on a semiconductor substrate is described. Photoresist structures are formed, which define functional circuit structures and dummy circuit structures, whereby the dummy circuit structures which are smaller than a minimum structural size are joined to an additional second dummy circuit structure. The additional circuit structure is provided in such a way that the minimum structural size, which is determined by a smallest required joint surface of the photoresist on the substrate, is exceeded. A semiconductor circuit is also provided, which includes functional circuit structures and dummy circuit structures, the dummy circuit structures being joined to the additional dummy circuit structures.
    Type: Grant
    Filed: October 18, 2001
    Date of Patent: July 1, 2003
    Assignee: Infineon Technologies AG
    Inventors: Sabine Kling, Dominique Savignac, Hans-Peter Moll, Henning Haffner, Elke Hietschold, Ines Anke
  • Patent number: 6541360
    Abstract: A bi-layer trim etch process to form integrated circuit gate structures can include depositing an organic underlayer over a layer of polysilicon, depositing an imaging layer over the organic underlayer, patterning the imaging layer, selectively trim etching the organic underlayer to form a pattern, and removing portions of the polysilicon layer using the pattern formed from the removed portions of organic underlayer. Thus, the use of thin imaging layer, that has high etch selectivity to the organic underlayer, allows the use of trim etch techniques without a risk of resist erosion or the aspect ratio pattern collapse. That, in turn, allows for the formation of the gate pattern with widths less than the widths of the pattern of the imaging layer.
    Type: Grant
    Filed: April 30, 2001
    Date of Patent: April 1, 2003
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Marina V. Plat, Scott A. Bell, Christopher F. Lyons, Ramkumar Subramanian, Bhanwar Singh
  • Patent number: 6537835
    Abstract: A method of manufacturing a semiconductor device and an apparatus of automatically adjusting a semiconductor pattern can precisely correct a difference in the shape or position of a pattern exposed or formed in two exposure steps. A pattern measuring unit measures an offset between the first pattern and the second pattern in a pattern measuring step. Based on the information on the offset thus detected, the first pattern is adjusted in a first patterning step with a high degree of freedom in the next manufacturing step cycle of a semiconductor device to precisely align the shape or position of the first pattern with the second pattern in a second patterning step with a low degree of freedom.
    Type: Grant
    Filed: October 23, 2001
    Date of Patent: March 25, 2003
    Assignee: Sony Corporation
    Inventors: Naoyasu Adachi, Katsuya Suzuki, Masayuki Noguchi
  • Patent number: 6534425
    Abstract: A photolithography reticle for use in conjunction with an exposure tool to produce a tapered sidewall profile in photoresist includes a solid portion and multiple sub-resolution line portions. The solid portion has a width which is greater than a resolution of the exposure tool. The sub-resolution line portions have widths which are less than the resolution of the exposure tool. Each of the sub-resolution line portions is spaced apart from the solid portion and from the others of the plurality of sub-resolution line portions by less than the resolution of the exposure tool.
    Type: Grant
    Filed: September 20, 2000
    Date of Patent: March 18, 2003
    Assignee: Seagate Technology LLC
    Inventors: Brian W. Karr, Lance E. Stover, Jianxin Zhu
  • Publication number: 20030049946
    Abstract: An assist pattern design method for lithography C/H process that includes the following steps: determining the exposure wavelength of a lithography machine light source; determining a minimum resolution line width by the sigma, process integration parameter and numerical aperture of the lithography machine; recovering the minimum line width on a mask according to the miniature scale of the determined minimum resolution line width; and using a line pattern smaller than the recovered minimum line width to connect multiply C/H patterns on the mask.
    Type: Application
    Filed: March 28, 2002
    Publication date: March 13, 2003
    Applicant: NANYA TECHNOLOGY CORPORATION
    Inventor: Yuan-Hsun Wu