Utilizing Antireflective Layer Patents (Class 438/952)
  • Publication number: 20010038973
    Abstract: Techniques are disclosed for fabricating a device using a photolithographic process. The method includes providing a first anti-reflective coating over a surface of a substrate. A layer which is transparent to a wavelength of light used during the photolithographic process is provided over the first anti-reflective coating, and a photosensitive material is provided above the transparent layer. The photosensitive material is exposed to a source of radiation including the wavelength of light. Preferably, the first anti-reflective coating extends beneath substantially the entire transparent layer. The complex refractive index of the first anti-reflective coating can be selected to maximize the absorption at the first anti-reflective coating to reduce notching of the photosensitive material.
    Type: Application
    Filed: February 18, 1999
    Publication date: November 8, 2001
    Inventors: GURTEJ S. SANDHU, ZHIPING YIN
  • Patent number: 6297521
    Abstract: A substantially continuously graded composition silicon oxycarbide (SiOC) antireflective coating (ARC) or antireflective layer (ARL) is interposed between a photoresist layer and an underlying substrate. The ARC matches an optical impedance at the interface between the ARC and photoresist. The optical impedance decreases (absorptivity increases) substantially continuously, in the ARC in a direction away from the interface between the ARC and the photoresist. The ARC composition is graded from SiOC, at its interface with the photoresist, to SiC or Si, in a direction away from the photoresist. Reflections at the ARC-photoresist interface are substantially eliminated. Substantially all incident light, including ultraviolet (UV) and deep ultraviolet (DUV) light, is absorbed in the ARC. As a result, substantially no light reaches or is reflected from the underlying substrate. Photolithographic limitations such as swing effect and reflective notching are reduced.
    Type: Grant
    Filed: August 14, 1998
    Date of Patent: October 2, 2001
    Assignee: Micron Technology, Inc.
    Inventors: Leonard Forbes, Kie Y. Ahn
  • Patent number: 6294460
    Abstract: A method is provided for manufacturing a semiconductor with fewer steps and minimized variation in the etching process by using SiON as a bottom antireflective (BARC) layer and hard mask in conjunction with a thin photoresist layer. In one embodiment, an etch-stop layer is deposited on a semiconductor substrate, a dielectric layer is deposited on top of the etch-stop layer, and a BARC is deposited on top of the dielectric layer. The BARC is deposited by PECVD to enrich the BARC with semiconductor material to increase the extinction coefficient of the BARC so its thickness can be reduced. A photoresist layer with a thickness less than the thickness of the BARC is then deposited on top of the BARC. The photoresist is then patterned, photolithographically processed, developed, and removed. The BARC is then etched away in the pattern developed on the photoresist and the photoresist is then removed. The BARC is then used as a mask for the etching of the dielectric layer.
    Type: Grant
    Filed: May 31, 2000
    Date of Patent: September 25, 2001
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Ramkumar Subramanian, Minh Van Ngo, Suzette K. Pangrle, Kashmir Sahota
  • Patent number: 6287752
    Abstract: A resist pattern is formed on stacked first and second conductive films. After second conductive film is patterned, the patterning of first conductive film follows without removing the remaining resist pattern. Resist pattern is completely removed by etching before the patterning of first conductive film is completed. Thereafter, etching is continued using second conductive film as a mask, and the patterning of first conductive film is completed. Thus, a method of forming a pattern for the semiconductor device in which minute interconnection pattern having a stacked structure is formed without an increase in the number of processing steps can be provided.
    Type: Grant
    Filed: July 22, 1999
    Date of Patent: September 11, 2001
    Assignee: Mitsubishi Denki Kabushiki Kaisha
    Inventor: Kazunori Yoshikawa
  • Patent number: 6287959
    Abstract: Reflection of incident optical radiation from a highly reflective metal layer (12), such as aluminum, copper or titanium, into a photoresist layer (16) is reduced by interposing a layer of silicon oxynitride (14) between the metal and photoresist layers. The silicon oxynitride layer (14) is pre-treated with an oxidizing plasma to deplete surface nitrogen and condition the silicon oxynitride layer (14) to be more compatible with deep ultraviolet photoresists. The silicon oxynitride layer (14) further serves as an etch stop in the formation of interconnect openings (40), such as vias, contacts and trenches. The interconnect opening (40) is filled with a second metallization layer to achieve multi-layer electrical interconnection.
    Type: Grant
    Filed: April 23, 1998
    Date of Patent: September 11, 2001
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Christopher F. Lyons, Bhanwar Singh
  • Publication number: 20010016414
    Abstract: A method forming a protective (SiON or PE-Ox) dielectric anti-reflective coating (DARC) over a dielectric layer after a chemical-mechanical polish dielectric layer planarization process and before a chemical-mechanical polish of a conductive layer used in a contact or via plug formation. A dielectric layer is chemical-mechanical polished thereby creating microscratches in the dielectric layer. The invention's protective SiON or PE-OX DARC layer is formed over the dielectric layer whereby the protective SiON or PE-OX DARC layer fills in the microscratches. A first opening is etched in he protective layer and the dielectric layer. A conductive layer is formed over the protective layer and fills the first opening. The conductive layer is chemical-mechanical polished to remove the conductive layer from over the protective layer and to form an interconnect filling the first opening. The protective SiON or PE-OX DARC layer is used as a CMP stop thereby preventing microscratches in the dielectric layer.
    Type: Application
    Filed: March 28, 2001
    Publication date: August 23, 2001
    Applicant: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY
    Inventors: Chen-Hua Yu, Syun-Ming Jang, Tsu Shih, Anthony Yen, Jih-Chuyng Twu
  • Publication number: 20010010976
    Abstract: A method and system for providing a semiconductor device is disclosed. The method and system include depositing an antireflective coating (ARC) layer having antireflective properties. The method and system also include depositing a capping layer on the ARC layer. The capping layer reduces a susceptibility of the ARC layer to removal while allowing the ARC layer to substantially retain the antireflective properties.
    Type: Application
    Filed: April 3, 2001
    Publication date: August 2, 2001
    Applicant: Advanced Micro Devices, Inc.
    Inventor: Marina V. Plat
  • Patent number: 6258667
    Abstract: A method for implementing embedded flash is disclosed. The embedded flash, which comprises memory cells and logic peripherals, is formed on a substrate where a gate oxide layer, a tunneling oxide layer and a floating gate are performed. The spirit of the invention is that transistors of the cell region and transistors of the peripheral region are implemented separated. In the proposed method, after transistors of the peripheral region are totally formed, then formation of transistors of the cell region begins to perform. Therefore, not only material of spacers of transistors of peripheral region, but also silicides can only be formed on the peripheral region and on the gate transistors of the cell region. Beside, ARC layer are fabricated on the embedded flash before spacers of transistors of cell region are fabricated. Thus, for memory cells, issues of both junction breakdown voltage and junction leakage also is not degraded by silicides.
    Type: Grant
    Filed: August 31, 1999
    Date of Patent: July 10, 2001
    Assignee: United Microelectronics Corp.
    Inventor: Chih-Jen Huang
  • Patent number: 6255717
    Abstract: Shallow trench isolation among transistors and other devices on a semiconductor substrate is provided by initially forming a layer of highly absorbing silicon rich nitride to serve as a hardmask between a semiconductor substrate and a photoresist. The highly absorbing layer of silicon rich nitride has an extinction coefficient (k)>0.5. As reflected light passes through the layer of silicon rich nitride, a substantially amount of light is absorbed therein thereby blocking such reflected light from negatively interfering with patterning of the photoresist during photolithography. Following patterning of the photoresist, isolation trenches are formed in the semiconductor substrate by etching through the silicon rich nitride in accordance with the pattern formed on the photoresist.
    Type: Grant
    Filed: November 25, 1998
    Date of Patent: July 3, 2001
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Carl P. Babcock, Jayendra D. Bhakta
  • Patent number: 6245656
    Abstract: The present invention relates to a method for overcming problems of amplified exposure light interference from shrinked devices and difficulties of photolithographic and etching process control due to multi-level contacts. The present invention combines reflective lights from multiple levels into one single light and reduces interference of reflective lights by introducing a reflective coating and an anti-reflective coating of SiON/Ti or SiON/TiN/Ti which further serve as an etching hard mask for avoiding overetching. The process windows are expanded. Semiconductor devices can be further shrunk and production yields an be improved.
    Type: Grant
    Filed: November 8, 1999
    Date of Patent: June 12, 2001
    Assignee: Vanguard International Semiconductor Corporation
    Inventors: Bi-Ling Chen, Erik S. Jeng, Shih-Ming Chang
  • Patent number: 6245682
    Abstract: This invention relates to the fabrication of integrated circuit devices and more particularly to a method for forming and then later removing a silicon oxynitride, SiON, anti-reflection coating (ARC) over a semiconductor substrate, for the purpose of enhancing the resolution of photolithographically defined sub-micron polysilicon gates. The problem addressed by this invention is that the SiON ARC must first be used to reduce optical reflection from a blanket polysilicon surface, during the photolithography exposure step that defines the sub-micron polysilicon gate features, and then the ARC must be removed by a wet etch process that will not chemically attack the gate oxide under the polysilicon gate features or any exposed polysilicon surfaces.
    Type: Grant
    Filed: March 11, 1999
    Date of Patent: June 12, 2001
    Assignee: Taiwan Semiconductor Manufacturing Company
    Inventors: Chu-Yun Fu, Syun-Ming Jang
  • Patent number: 6235630
    Abstract: Silicide interfaces for integrated circuits, thin film devices, and backend integrated circuit testing devices are formed using a barrier layer, such as titanium nitride, disposed over a porous, thin dielectric layer which is disposed between a silicon-containing substrate and a silicidable material which is deposited to form the silicide interfaces for such devices. The barrier layer prevents the formation of a silicide material within imperfections or voids which form passages through the thin dielectric layer when the device is subjected to a high temperature anneal to form the silicide contact from the reaction of the silicidable material and the silicon-containing substrate.
    Type: Grant
    Filed: August 19, 1998
    Date of Patent: May 22, 2001
    Assignee: Micron Technology, Inc.
    Inventors: Salman Akram, Y. Jeff Hu
  • Patent number: 6225219
    Abstract: A method of stabilizing an anti-reflection coating (ARC) layer is disclosed. The method provides a substrate with a dielectric layer, a conductive layer, and the ARC layer formed thereon. The ARC layer is treated in an alloy treatment step prior to forming a photoresist layer over the ARC layer, so that the specificity of the ARC layer is stabilized to allow accurate transfer of a desired pattern. A photomask with the desired pattern is provided, while a photolithographic process is then performed to transfer the pattern onto the wafer.
    Type: Grant
    Filed: December 20, 1999
    Date of Patent: May 1, 2001
    Assignee: United Microelectronics Corp.
    Inventors: Kan-Yuan Lee, Weiching Horng, Joe Ko, Gary Hong
  • Patent number: 6221745
    Abstract: A method for fabricating polycide gate electrodes wherein silicon pits in the active region are avoided by using a two-step etch to prevent pinholes in a BARC layer from penetrating significantly the silicide layer is described. A layer of gate silicon oxide is grown over the surface of a semiconductor substrate. A polysilicon layer is deposited overlying the gate silicon oxide layer. A silicide layer is formed overlying the polysilicon layer. A hard mask layer is deposited overlying the silicide layer. An anti-reflective coating layer is formed overlying the hard mask layer. A photoresist mask is formed over the anti-reflective coating layer wherein a pinhole is formed in the surface of the anti-reflective coating layer not covered by the photoresist mask. First the anti-reflective coating layer is etched through using O2 and N2 gases where it is not covered by the photoresist mask to the hard mask layer.
    Type: Grant
    Filed: November 27, 1998
    Date of Patent: April 24, 2001
    Assignee: Taiwan Semiconductor Manufacturing Company
    Inventor: Yuh-Da Fan
  • Patent number: 6218292
    Abstract: Photolithographic processing is enhanced by employing a composite comprising two bottom anti-reflective coatings, wherein the extinction coefficient (k) of the upper anti-reflective coating is less than that of the underlying anti-reflective coating. The use of a composite bottom anti-reflective coating comprising partially transparent upper anti-reflective coating substantially reduces reflective notching in the photoresist layer, particularly when employing i-line or deep UV irradiation to obtain sub 0.35 &mgr;m features.
    Type: Grant
    Filed: December 18, 1997
    Date of Patent: April 17, 2001
    Assignee: Advanced Micro Devices, Inc.
    Inventor: David K. Foote
  • Patent number: 6197679
    Abstract: The object of the present invention is to provide a method of manufacturing an improved semiconductor device in which overlay-accuracy can be enhanced even when a halftone mask is used. An oxide film is formed on an antireflection film. Resist films are selectively irradiated with light using a halftone phase shift mask. Subsequently, it is developed to form resist patterns for a connecting hole and an overlay mark. According to the, present invention, the provision of an antireflection film under an oxide film prevents formation of a ghost pattern in an overlay mark portion.
    Type: Grant
    Filed: March 23, 1999
    Date of Patent: March 6, 2001
    Assignee: Mitsubishi Denki Kabushiki Kaisha
    Inventor: Sachiko Hattori
  • Patent number: 6191016
    Abstract: A structure is provided comprising a semiconductor substrate, a gate oxide layer on the substrate, and a polysilicon layer on the gate oxide layer. A masking layer is formed on the polysilicon layer. The masking layer is then patterned into a mask utilizing conventional photolithographic techniques, but without patterning the polysilicon layer. The photoresist layer is then removed, whereafter the mask, which is patterned out of the masking layer, is utilized for patterning the polysilicon layer. The use of a carbon free mask for patterning the polysilicon layer, instead of a conventional photoresist layer containing carbon, results in less breakthrough through the gate oxide layer when the polysilicon layer is patterned. Less breakthrough through the gate oxide layer allows for the use of thinner gate oxide layers, and finally fabricated transistors having lower threshold voltages.
    Type: Grant
    Filed: January 5, 1999
    Date of Patent: February 20, 2001
    Assignee: Intel Corporation
    Inventors: Robert S. Chau, Thomas Letson, Patricia Stokley, Peter Charvat, Ralph Schweinfurth
  • Patent number: 6191030
    Abstract: In a photo-lithographic step for providing contact points to lower layers of a semiconductor device, an anti-reflective coating (ARC) layer, such as FLARE 2.0™, is used to provide a good contact points to an underlayer. After the contact points are made, the anti-reflective coating layer is removed, with the removal being performed in a same step in which a photo-resist is removed from the semiconductor device. In an alternative configuration, the ARC layer remains in the semiconductor device after the fabrication process is competed, thereby acting as an interlayer dielectric during operation of the semiconductor device.
    Type: Grant
    Filed: November 5, 1999
    Date of Patent: February 20, 2001
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Ramkumar Subramanian, Suzette K. Pangrle, John G. Pellerin, Ernesto A. Gallardo
  • Patent number: 6187644
    Abstract: A method for forming a semiconductor device is disclosed. The method includes providing a semiconductor substrate, followed by forming a gate oxide layer and a conductive layer over the substrate. An anti-reflective coating is then formed on the conductive layer. After patterning to etch the anti-reflective coating and the conductive layer, a gate region is thus formed. A dielectric layer is formed over the gate region, and is then subjected to etching back, therefore forming an offset spacer on sidewall of the gate region while simultaneously removing surface oxide of the anti-reflective coating. Finally, anti-reflective coating is removed.
    Type: Grant
    Filed: September 8, 1999
    Date of Patent: February 13, 2001
    Assignee: United Microelectronics Corp.
    Inventors: Tony Lin, Tung-Po Chen
  • Patent number: 6171940
    Abstract: A method for forming semiconductor devices having small dimension gate structures is disclosed. The present invention includes a photoresist shrink process and an organic material layer having low dielectric constant in between the polysilicon layer and the anti-reflection layer.
    Type: Grant
    Filed: October 1, 1999
    Date of Patent: January 9, 2001
    Assignee: United Microelectronics Corp.
    Inventor: Jui-Tsen Huang
  • Patent number: 6156629
    Abstract: A method of etching polysilicon using an oxide hard mask using a three step etch process. Steps one and two are performed insitu in a high density plasma (e.g., TCP--transformer coupled plasma) oxide etcher. Step 3, the polysilicon etch is performed in a different etcher (e.g., poly RIE etcher). A multi-layered semiconductor structure 35 (FIG. 1) is formed comprising: a substrate 10, a gate oxide layer 14, a polysilicon layer 18, a hard mask layer 22, and a bottom anti-reflective coating (BARC) layer 26 and a resist layer 30.
    Type: Grant
    Filed: October 1, 1998
    Date of Patent: December 5, 2000
    Assignee: Taiwan Semiconductor Manufacturing Company
    Inventors: Hun-Jan Tao, Yuan-Chang Huang
  • Patent number: 6153504
    Abstract: A SiON ARC is formed on the uppermost metal or bonding pad layer, a topside protective layer, e.g., oxide, nitride or oxynitride, formed thereon and etching is conducted through the topside protective layer and SiON ARC to form a bonding pad opening. The use of SiON as an ARC reduces bonding pad etching time, enables a reduction in the height of the metal stack for reduced capacitance between metal lines and increased circuit speed, and improves etch marginality due to the reduced aspect ratio.
    Type: Grant
    Filed: August 16, 1999
    Date of Patent: November 28, 2000
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Jeffrey A. Shields, Bharath Rangarajan
  • Patent number: 6150250
    Abstract: An electrode material layer of a WSi.sub.2 /polysilicon lamination layer and a conductive material layer for antireflection made of TiN or TiON and containing the direction <200> are sequentially deposited on a gate insulating film. The conductive material layer is patterned through dry etching using a resist layer as a mask to leave a portion of the conductive material layer. The resist layer may be as thin as capable of patterning the conductive material layer. After the resist layer is removed, the electrode material layer is patterned through dry etching using the conductive material layer as a mask to leave a portion of the electrode material layer. A lamination of the left electrode material layer and conductive material layer is used as a gate electrode layer. A lamination of the resist layer and conductive material layer may be used as a mask.
    Type: Grant
    Filed: November 5, 1998
    Date of Patent: November 21, 2000
    Assignee: Yamaha Corporation
    Inventors: Suguru Tabara, Satoshi Hibino
  • Patent number: 6130146
    Abstract: A method for insitu forming a SiN layer and an overlying Silicon oxynitride layer in one chamber. A substrate is loaded into a chamber. The substrate has thereover a polysilicon layer and a overlying metal layer. In a first in-situ step, a nitride layer is deposited using a LPCVD process over the substrate. The nitride layer is preferably formed at a temperature between 650 and 800.degree. C. and flowing SiH.sub.2 Cl.sub.2 and NH.sub.3. In a second in-situ step, an oxynitride layer is deposited over the nitride layer. The oxynitride layer acts as a bottom anti-reflective coating (BARC). The oxynitride (SiON) layer can be formed by a LPCVD process. Second, the LPCVD oxynitride can be formed a temperature between 600 and 800.degree. C. with a SiH.sub.4 flow and a N.sub.2 O flow. The substrate is removed from the chamber. A photoresist layer is formed over the oxynitride layer. The photoresist layer is exposed using the oxynitride layer as a bottom anti-reflective coating (BARC).
    Type: Grant
    Filed: July 26, 1999
    Date of Patent: October 10, 2000
    Assignee: Vanguard International Semiconductor Corporation
    Inventors: Jung-Ho Chang, Hsi-Chuan Chen, Dahcheng Lin
  • Patent number: 6124178
    Abstract: A method for forming a MOSFET device on a semiconductor substrate is disclosed here. First, a gate oxide layer, a polysilicon layer, a metal silicide layer and a silicon oxynitride layer are formed on the semiconductor substrate in sequence. Then, the silicon oxynitride layer, the metal silicide layer, the polysilicon layer and the gate oxide layer are etched to define a gate pattern. The sidewall spacers are formed on the sidewalls of the gate structure. The source and drain areas are defined by forming the doping areas in the semiconductor substrate. Next, a non-doped dielectric layer is formed above the semiconductor substrate to cover the gate structure, the sidewall spacers and the source/drain areas. An annealing procedure is next performed about 10 to 15 minutes at a temperature of about 800 to 850.degree. C. Then, a dielectric layer is formed on said non-doped dielectric layer.
    Type: Grant
    Filed: August 26, 1999
    Date of Patent: September 26, 2000
    Assignee: Mosel Vitelic, Inc.
    Inventors: Kuan-Chou Sung, Chien Chou, Steve Hsu, Elmer Chen
  • Patent number: 6121123
    Abstract: A gate is formed on a semiconductor substrate by using a SiON film as both a bottom anti-reflective coating (BARC) and subsequently as a hardmask to better control the critical dimension (CD) of the gate as defined via a deep-UV resist mask formed thereon. The wafer stack includes a gate oxide layer over a semiconductor substrate, a polysilicon gate layer over the gate oxide layer, and a SiON film over the conductive layer. The resist mask is formed on the SiON film. The SiON film improves the resist mask formation process and then serves as a hardmask during subsequent etching processes. Then the wafer stack is shaped to form one or more polysilicon gates by sequentially etching through selected portions of the SiON film and the gate conductive layer as defined by the etch windows in the original resist mask. Once the gate has been properly shaped, any remaining portions of either the resist mask or the SiON film are then removed.
    Type: Grant
    Filed: September 5, 1997
    Date of Patent: September 19, 2000
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Christopher F. Lyons, Scott A. Bell, Olov Karlsson
  • Patent number: 6121098
    Abstract: A method for forming a semiconductor device includes providing a semiconductor body having source and drain regions therein and a gate electrode on a portion of a surface of such body between the source and drain regions. A dielectric layer is provided on the surface of the semiconductor body over the source and drain regions. A dielectric material is formed over the dielectric layer and over the gate electrode. An inorganic, dielectric layer is formed over the semiconductor body dielectric material. The inorganic, dielectric layer is patterned into a mask to expose selected portions of the dielectric material, such portions being over the source and drain regions. An etch is brought into contact with the mask. The etch removes the exposed underlying portions of the dielectric material and exposed underling portions of the dielectric layer to thereby expose the portions of the source and drain regions.
    Type: Grant
    Filed: June 30, 1998
    Date of Patent: September 19, 2000
    Assignee: Infineon Technologies North America Corporation
    Inventor: Peter Strobl
  • Patent number: 6117743
    Abstract: A method of manufacturing MOS device including the steps of providing a semiconductor substrate that has a device isolation structure thereon, and then depositing a gate oxide layer, a polysilicon layer and an anti-reflection coating in sequence over the substrate. Next, a gate structure is patterned out of the gate oxide layer, the polysilicon layer and the anti-reflection coating. Then, spacers are formed on the sidewalls of the gate structure. Thereafter, a metal silicide layer is formed over source/drain regions. After that, an inter-layer dielectric (ILD) layer is formed over the gate structure and the entire substrate. Then, the inter-layer dielectric layer is planarized to expose the anti-reflection coating. Next, the anti-reflection coating is removed, and then a barrier layer is deposited over the inter-layer dielectric layer and the polysilicon layer. Subsequently, a conductive layer is deposited over the barrier layer.
    Type: Grant
    Filed: December 1, 1998
    Date of Patent: September 12, 2000
    Assignee: United Microelectronics Corp.
    Inventors: Wen-Kuan Yeh, Tony Lin, Coming Chen
  • Patent number: 6114255
    Abstract: A germanium and silicon alloy is employed as an antireflective coating material for use in active area lithography and gate area lithography steps in the formation of a semiconductor integrated circuit. A layer composed of an alloy of germanium-silicon is deposited over an active area nitride layer or over a gate area nitride layer, and a photoresist layer is then formed on the germanium-silicon alloy layer. The photoresist layer is than exposed and developed. During exposure, the germanium-silicon alloy layer substantially reduces reflection from the underlying nitride layer, thereby relieving the dependency of exposure energy and resulting line width on the underlying nitride layer thickness.
    Type: Grant
    Filed: March 31, 1998
    Date of Patent: September 5, 2000
    Assignee: Micron Technology, Inc.
    Inventor: Werner Juengling
  • Patent number: 6107172
    Abstract: A gate is formed by creating a wafer stack, that includes a gate conductive layer over a substrate layer, depositing a SiO.sub.x N.sub.y layer over the conductive layer to act as a bottom anti-reflective coating (BARC), and forming a resist mask on the SiO.sub.x N.sub.y layer. Next, the resist mask is isotropically etched to further reduce the critical dimensions of the gate pattern formed therein, and then the underlying BARC and wafer stack are etched to form a gate out of the conductive layer.
    Type: Grant
    Filed: August 1, 1997
    Date of Patent: August 22, 2000
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Chih-Yuh Yang, Scott A. Bell, Daniel Steckert
  • Patent number: 6103630
    Abstract: A new method of etching metal lines using SF.sub.6 gas during the overetch step to prevent undercutting of the anti-reflective coating layer is described. Semiconductor device structures are provided in and on a semiconductor substrate. The semiconductor device structures are covered with an insulating layer. A barrier metal layer is deposited overlying the insulating layer. A metal layer is deposited overlying the barrier metal layer. A silicon oxide layer is deposited overlying the metal layer. The silicon oxide layer is covered with a layer of photoresist which is exposed, developed, and patterned to form the desired photoresist mask. The silicon oxide layer is etched away where it is not covered by the photoresist mask leaving a patterned hard mask. The metal layer is etched away where it is not covered by the patterned hard mask to form metal lines. Overetching is performed to remove the barrier layer where it is not covered by the hard mask wherein SF.sub.
    Type: Grant
    Filed: February 9, 1998
    Date of Patent: August 15, 2000
    Assignee: Taiwan Semiconductor Manufacturing Company
    Inventors: Yu-Hua Lee, Chia-Shiung Tsai
  • Patent number: 6090674
    Abstract: Improved etching of sub-micron diameter via or contact holes in integrated circuits is achieved by first coating the dielectric layer through which the hole is to be etched with successive layers of titanium and silicon oxynitride. This is followed by coating with a conventional photoresist mask which is thinner than usual, thereby allowing for improved resolution. Etching is carried out in two stages. First, only the oxynitride and titanium layers are etched with minimal penetration into the dielectric. In this way a hard mask of titanium is formed. It's optical fidelity is excellent since the combination of silicon oxynitride and titanium act as a very efficient anti-reflection coating. Etching of the hole is then completed using a different etch which also removes the remaining photoresist, the silicon oxynitride as well as some of the titanium.
    Type: Grant
    Filed: November 9, 1998
    Date of Patent: July 18, 2000
    Assignee: Taiwan Semiconductor Manufacturing Company
    Inventors: Hung-Chang Hsieh, Hua-Tai Lin, Jhon-Jhy Liaw, Jin-Yuan Lee
  • Patent number: 6090694
    Abstract: A method for forming a semiconductor device to produce a more distortion free via for interconnecting levels within a device or forming a connection between an external surface and an internal layer within a device includes the step of substituting a material similar to an etch stop adjacent one of the layers for the ARC. In other words, an etch stop is placed over the metal layer formed on a layer within the device. This is followed by a layer of silicon dioxide (SiO.sub.2) and then by a layer of material similar to the etch stop. Photoresist is placed on the layer of material similar to etch stop. The photoresist is exposed to light to form the location of the vias. The layer of material similar to etch stop, and the SiO.sub.2 layer are then removed in separate etching steps to form the via pathway from the resist to the etch stop adjacent the metal of the layer selected to be interconnected by the via. The resist can then be removed.
    Type: Grant
    Filed: December 16, 1997
    Date of Patent: July 18, 2000
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Fred N. Hause, Charles E. May, Mark I. Gardner
  • Patent number: 6087255
    Abstract: The application of a dissimilar anti-reflective coating on a conductive layer during photolithographic processing is avoided, as by modifying a portion of the upper surface of the conductive layer to exhibit anti-reflective properties. In an embodiment of the present invention, impurity ions are implanted into a portion of the upper surface of an aluminum or an aluminum-alloy conductive layer to render the upper portion substantially amorphous and, hence, decrease its reflectivity to perform an anti-reflective function.
    Type: Grant
    Filed: August 3, 1998
    Date of Patent: July 11, 2000
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Shekhar Pramanick, Bhanwar Singh, Che-Hoo Ng
  • Patent number: 6066567
    Abstract: A method is provided for removing an bottom anti-reflective coating (BARC) from a transistor gate during the etch back process associated with a resistor protect etch process. The method includes removing a silicon oxynitride BARC, in-situ, during a resistor protect etching process using a plasma formed with CF.sub.4 gas, CHF.sub.3 gas, and Argon (Ar) gas.
    Type: Grant
    Filed: December 18, 1997
    Date of Patent: May 23, 2000
    Assignee: Advanced Micro Devices, Inc.
    Inventors: William G. En, Minh Van Ngo, Olov B. Karlsson
  • Patent number: 6060385
    Abstract: The present invention comprises a metallization method that forms a three-level interconnect in an electrical circuit. The method comprises providing a substrate assembly and depositing thereon a first dielectric layer thereover. A second dielectric layer is then deposited over the first dielectric layer. The second dielectric layer is patterned and anisotropically etched to form contact corridors. The second dielectric layer is again patterned and etched to form trenches, some of which are immediately above the contact corridors. An electrically conductive material is deposited to fill the contact corridors and trenches, and to leave a portion of the electrically conductive material above the second dielectric layer and directly above both the contact corridors and the trenches. The deposition forms a unitary three-level interconnect having a contiguous trench below a contact corridor below a metal line, where the metal line is above the second dielectric layer.
    Type: Grant
    Filed: February 14, 1997
    Date of Patent: May 9, 2000
    Assignee: Micro Technology, Inc.
    Inventor: John H. Givens
  • Patent number: 6043547
    Abstract: An antireflection coating (116) for use in fabricating integrated circuits and electronic devices comprises a film of chromium oxide, CrO, or chromium suboxide, CrO.sub.x where x<1. An antireflection layer reduces the standing waves and topographic notching in a photoresist layer (118) when applied over a highly reflective layer (114). Highly reflective layers may be metals, such as aluminum or gold, silicides, or semiconductors, such as silicon. These coatings are preferably made by reactive sputtering of a chromium target with a partial pressure of oxygen in the sputtering chamber. The antireflection layer works primarily by absorptive, rather than wave matching, principles. This antireflection layer exhibits good adhesion and may be integrated into the device. Integrating the layer into the device may reduce stress in the underlying layers and improve device yields and reliability.
    Type: Grant
    Filed: June 6, 1997
    Date of Patent: March 28, 2000
    Assignee: Mosel Vitelic, Inc.
    Inventors: Liang-Choo Hsia, Thomas Chang
  • Patent number: 6017816
    Abstract: A method of fabricating notching free metal interconnection lines by utilizing aluminum nitride (AlN) as an anti-reflection coating. First, field oxide regions are formed on a semiconductor silicon wafer. Then, electrical element structures such as transistor, capacitor and resistor are formed on the predetermined area. Next, a barrier layer, a metal layer and an anti-reflection layer are successively deposited overlaying the entire silicon wafer surface. Next, the photoresist pattern is formed by the conventional lithography technique. By using photoresist pattern as an etching protection mask, the barrier layer, metal layer and anti-reflection layer are also patterned to form metal interconnection lines. Thereafter, the photoresist is stripped by oxygen plasma and sulfuric acid.
    Type: Grant
    Filed: February 25, 1997
    Date of Patent: January 25, 2000
    Assignee: Mosel Vitelic Inc.
    Inventors: Chyi-Tsong Ni, Kuei-Chang Tsai
  • Patent number: 6017819
    Abstract: A polysilicon/amorphous silicon composite layer for improved linewidth control in the patterning of gate electrodes, in the manufacture of metal oxide semiconductor (MOS) devices. The formation of a composite polysilicon/amorphous silicon gate in an integrated circuit gives the device the electrical performance and doping qualities of a polysilicon gate and also gives the device the smoothness of an amorphous silicon gate which improves line definition during gate patterning.
    Type: Grant
    Filed: November 14, 1997
    Date of Patent: January 25, 2000
    Assignee: Intel Corporation
    Inventors: Lawrence N. Brigham, Chia-Hong Jan, Binglong Zhang
  • Patent number: 6008121
    Abstract: Contact holes through a dielectric are formed by forming a layer of polysilicon having a thickness between 0.02 um and 0.15 um inclusive on the dielectric, forming a layer of resist having a thickness between 0.4 um and 0.6 um inclusive on the layer of polysilicon, making a mask of the layer of resist, using it to form a mask in the layer of polysilicon and etching contact holes in the dielectric by exposing it to etching gasses through the apertures in the polysilicon mask. When the dielectric includes a layer of oxide adjacent the polysilicon mask and a layer of nitride between it and elements of the device, the resist mask is removed prior to etching the contact hole and a gas mixture of: C.sub.4 F.sub.8 ; one of Ar, H, F; CO; CF.sub.4 or C.sub.2 F.sub.6 is used.
    Type: Grant
    Filed: March 19, 1996
    Date of Patent: December 28, 1999
    Assignees: Siemens Aktiengesellschaft, International Business Machines Corporation
    Inventors: Chi-Hua Yang, Virinder S. Grewal, Volker B. Laux
  • Patent number: 6007732
    Abstract: A pattern forming method having a step of forming an amorphous carbon film on a patterning layer formed on a substrate, a step of forming a photoresist film on the amorphous carbon film, a step of selectively exposing and developing the photoresist film to form a photoresist pattern, and a step of successively dry-etching the amorphous carbon film and the patterning layer by using the photoresist film as an etching mask. Desired optical constants of an amorphous carbon film formed by sputtering can be obtained by controlling a substrate temperature and other parameters.
    Type: Grant
    Filed: May 5, 1997
    Date of Patent: December 28, 1999
    Assignee: Fujitsu Limited
    Inventors: Koichi Hashimoto, Toshiyuki Ohtsuka, Fumihiko Shinpuku, Daisuke Matsunaga, Takayuki Enda
  • Patent number: 6004884
    Abstract: A method for etching a TiN layer of a wafer stack in a plasma processing chamber. The method includes the step of etching at least partially through the TiN layer using a first chemistry, which preferably includes a TiN etchant, a noble gas, and a polymer-forming chemical. In one embodiment, the TiN etchant is Cl.sub.2, the noble gas is argon, and the polymer-forming chemical is CHF.sub.3.
    Type: Grant
    Filed: February 15, 1996
    Date of Patent: December 21, 1999
    Assignee: Lam Research Corporation
    Inventor: Susan C. Abraham
  • Patent number: 6004843
    Abstract: A method for fabricating both MOS memory devices, and MOS logic devices, on a single silicon chip, has been developed. The process features combining process steps for both device types, however using a self-aligned contact structure, in the MOS memory device region, for purposes of increasing device density, while using metal silicide regions, only in MOS logic device regions, for purposes of improving device performance. An organic coating protects MOS memory devices, from procedures used to remove insulator layers from silicon surfaces of MOS logic devices, prior to the formation of the self-aligned silicide regions, on the exposed silicon surfaces, in MOS logic device regions.
    Type: Grant
    Filed: May 7, 1998
    Date of Patent: December 21, 1999
    Assignee: Taiwan Semiconductor Manufacturing Company
    Inventor: Jenn Ming Huang
  • Patent number: 6004853
    Abstract: A process for fabricating a straight walled, silicon nitride capped, gate structure, for a MOSFET device, has been developed. The process features the creation of a straight walled, photoresist shape, to be used as an etch mask, during the patterning of the straight walled, silicon nitride capped, gate structure. A silicon oxynitride layer, with a specific thickness range between about 820 to 920 Angstroms, is used as a bottom anti-reflective coating, (BARC), layer, located between an overlying straight walled, photoresist shape, and an underlying silicon nitride capping layer. The BARC layer retards the reflection emitted from a silicon nitride capping layer, during the photolithographic exposure procedure, used for definition of the straight walled, photoresist shape, allowing the desired straight walled, photoresist shape, to be obtained, independent of the thickness of the silicon nitride capping layer.
    Type: Grant
    Filed: May 27, 1999
    Date of Patent: December 21, 1999
    Assignee: Vanguard International Semiconductor Corporation
    Inventors: Hsiao-Ying Yang, Yeh-Sen Lin
  • Patent number: 5990002
    Abstract: Inventive antireflective structures comprise a semiconductor substrate having hereon a combination of a plurality of layers that either that absorb reflected light or that dissipate reflected light into patterns and intensities that do not substantially alter photoresist material on the semiconductor substrate. The semiconductor substrate has formed thereon a feature having a width of less than about 0.25 microns. Antireflective structures contemplated include a first layer of polysilicon and first layer of silicon nitride material that is formed upon the first layer of polysilicon. The antireflective structure has the ability to scatter unabsorbed light into patterns and intensities that are substantially ineffective to alter photoresist material exposed to said patterns and intensities.
    Type: Grant
    Filed: May 21, 1998
    Date of Patent: November 23, 1999
    Assignee: Micron Technology, Inc
    Inventors: Ardavan Niroomand, Fernando Gonzalez
  • Patent number: 5965461
    Abstract: A gate is formed by depositing a gate conductive layer over a substrate layer, depositing an organic spin-on bottom anti-reflective coating (BARC) over the gate conductive layer, and forming a resist mask on the BARC. Next, the resist mask is controllably etched to further reduce the critical dimensions of gate pattern formed therein, and then the gate is formed by etching the gate conductive layer using the reduced size resist mask.
    Type: Grant
    Filed: August 1, 1997
    Date of Patent: October 12, 1999
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Chih-Yuh Yang, Scott A. Bell, Daniel Steckert
  • Patent number: 5963841
    Abstract: A gate is formed on a semiconductor substrate by using a bottom anti-reflective coating (BARC) to better control the critical dimension (CD) of the gate as defined via a deep-UV resist mask formed thereon. The wafer stack includes a gate oxide layer over a semiconductor substrate, a polysilicon gate layer over the gate oxide layer, a SiON BARC over the conductive layer, a thin oxide film over the SiON BARC. The resist mask is formed on the oxide film. The SiON BARC improves the resist mask formation process. The wafer stack is then shaped to form one or more polysilicon gates by sequentially etching through selected portions of the oxide film, the BARC, and the gate conductive layer as defined by the etch windows in the resist mask. Once properly shaped, the remaining portions of the resist mask, oxide film and SiON BARC are removed.
    Type: Grant
    Filed: September 5, 1997
    Date of Patent: October 5, 1999
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Olov B. Karlsson, Christopher F. Lyons, Minh Van Ngo, Scott A. Bell, David K. Foote
  • Patent number: 5956603
    Abstract: A method for fabricating a plurality of shallow-junction metal oxide semiconductor field-effect transistors (MOSFETs) on a selected area of a silicon wafer, in the case in which the MOSFETs are spaced from one another by substantially transparent isolation elements. The method includes the step of flooding the entire selected area with laser radiation that is intended to effect the heating to a desired threshold temperature of only the selected depth of a surface layer of silicon that has been previously amorphized to this selected depth and then doped. This threshold temperature is sufficient to melt amorphized silicon but is insufficient to melt crystalline silicon.
    Type: Grant
    Filed: August 27, 1998
    Date of Patent: September 21, 1999
    Assignee: Ultratech Stepper, Inc.
    Inventors: Somit Talwar, Kurt Weiner
  • Patent number: 5926740
    Abstract: A substantially continuously graded composition silicon oxycarbide (SiOC) antireflective coating (ARC) or antireflective layer (ARL) is interposed between a photoresist layer and an underlying substrate. The ARC matches an optical impedance at the interface between the ARC and photoresist. The optical impedance decreases (absorptivity increases) substantially continuously, in the ARC in a direction away from the interface between the ARC and the photoresist. The ARC composition is graded from SiOC, at its interface with the photoresist, to SiC or Si, in a direction away from the photoresist. Reflections at the ARC-photoresist interface are substantially eliminated. Substantially all incident light, including ultraviolet (UV) and deep ultraviolet (DUV) light, is absorbed in the ARC. As a result, substantially no light reaches or is reflected from the underlying substrate. Photolithographic limitations such as swing effect and reflective notching are reduced.
    Type: Grant
    Filed: October 27, 1997
    Date of Patent: July 20, 1999
    Assignee: Micron Technology, Inc.
    Inventors: Leonard Forbes, Kie Y. Ahn
  • Patent number: 5910021
    Abstract: A conductive layer (Ti, TiN, TiON, TiW, or a laminate thereof) having an antireflection function is formed on a gate electrode layer. The conductive layer is patterned by using a resist mask which is then removed. By using the patterned conductive layer as a mask, the gate electrode layer is patterned. An interlevel insulating film such as silicon oxide is deposited on the patterned gate electrode. A conductive layer having an antireflection function and a resist layer are formed on the interlevel insulating film. The resist layer is pattered, and the conductive layer is patterned by using the patterned resist layer as a mask. The patterned resist layer is removed. By using the patterned conductive layer as a mask, the interlevel insulating film is selectively etched to form a contact hole. A main conductive layer such as Al and a conductive layer having an antireflection function are formed and similar patterning is repeated.
    Type: Grant
    Filed: July 3, 1997
    Date of Patent: June 8, 1999
    Assignee: Yamaha Corporation
    Inventor: Suguru Tabara