Operation Patents (Class 712/30)
  • Publication number: 20110202329
    Abstract: Systems and methods are provided for generating multiple system state projections for one or more scenarios using a grid computing environment. A central coordinator software component executes on a root data processor and provides commands and data to a plurality of node coordinator software components. A node coordinator software component manages threads which execute on its associated node data processor and which perform a set of matrix operations. Stochastic simulations use results of the matrix operations to generate multiple state projections. Additional processing can be performed by the grid computing environment based upon the generated state projections, such as to develop risk information for users.
    Type: Application
    Filed: February 12, 2010
    Publication date: August 18, 2011
    Inventors: James Howard Goodnight, Steve Krueger, Oliver Schabenberger, Christopher D. Bailey
  • Publication number: 20110202745
    Abstract: A CPU may select a variable from a variable set as a dependent variable. The variable set may be part of the data structure that includes a plurality of vector values, a vector value associated with a variable set of n number of variables, and each variable of the variable set having a variable value. The number of dependent variable steps for the dependent variable may be determined. The number of the vector values in a dependent variable step is determined as being number of independent variables. A function is mapped to a plurality of thread processors, and each thread processor is assigned for the function to be performed on each one of the independent variables for each of the dependent variable steps.
    Type: Application
    Filed: February 17, 2010
    Publication date: August 18, 2011
    Applicant: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Rajesh Ramkrishna Bordawekar, Ravishankar Rao
  • Patent number: 8001206
    Abstract: Methods, apparatus, and products for broadcasting data in a hybrid computing environment that includes a host computer, a number of accelerators, the host computer and the accelerators adapted to one another for data communications by a system level message passing module, the host computer having local memory shared remotely with the accelerators, the accelerators having local memory for the accelerators shared remotely with the host computer, where broadcasting data according to embodiments of the present invention includes: writing, by the host computer remotely to the shared local memory for the accelerators, the data to be broadcast; reading, by each of the accelerators from the shared local memory for the accelerators, the data; and notifying the host computer, by the accelerators, that the accelerators have read the data.
    Type: Grant
    Filed: January 29, 2009
    Date of Patent: August 16, 2011
    Assignee: International Business Machines Corporation
    Inventors: Charles J. Archer, James E. Carey, Matthew W. Markland, Philip J. Sanders, Timothy J. Schimke
  • Patent number: 8001360
    Abstract: A system and software for improving the performance of processors by incorporating an execution unit operable to decode and execute single instructions specifying a data selection operand and a first and a second register providing a plurality of data elements, the data selection operand comprising a plurality of fields each selecting one of the plurality of data elements, the execution unit operable to provide the data element selected by each field of the data selection operand to a predetermined position in a catenated result.
    Type: Grant
    Filed: January 16, 2004
    Date of Patent: August 16, 2011
    Assignee: Microunity Systems Engineering, Inc.
    Inventors: Craig Hansen, John Moussouris
  • Publication number: 20110197048
    Abstract: A dynamic reconfigurable heterogeneous processor architecture with load balancing and dynamic allocation method thereof is disclosed. The present invention uses a work control logic unit to detect load imbalance between different types of processors, and employs a number of dynamically reconfigurable heterogeneous processors to offload the heavier loaded processors. Hardware utilization of such design can be enhanced, and variation in computation needs among different computation phases can be better handled. To design the dynamic reconfigurable heterogeneous processors, a method of how to choose the basic building blocks and place the routing components is included. With the present invention, performance can be maximized at a minimal hardware cost. Hence the dynamic reconfigurable heterogeneous processor(s) so constructed and the load balancing and dynamic allocation method together will have the best performance at least cost.
    Type: Application
    Filed: February 3, 2011
    Publication date: August 11, 2011
    Inventors: Chung-Ping CHUNG, Hui-Chin Yang, Yi-Chi Chen
  • Publication number: 20110191568
    Abstract: An information processing apparatus is provided. The apparatus includes a communication unit configured to communicate with another apparatus, a main processing unit capable of controlling communication processing by the communication unit and other processing, a communication processing unit capable of controlling the communication processing by the communication unit and a deciding unit configured to decide, during communication by the communication unit and based on one of a transfer condition of communication by the communication unit and priority of data to be communicated, which one of the main processing unit and the communication processing unit should control the communication processing by the communication unit.
    Type: Application
    Filed: January 19, 2011
    Publication date: August 4, 2011
    Applicant: CANON KABUSHIKI KAISHA
    Inventor: Tetsuya Yamamoto
  • Publication number: 20110185153
    Abstract: A multi-core microprocessor includes first and second processing cores and a bus coupling the first and second processing cores. The bus conveys messages between the first and second processing cores. The cores are configured such that: the first core stops executing user instructions and interrupts the second core via the bus, in response to detecting a predetermined event; the second core stops executing user instructions, in response to being interrupted by the first core; each core outputs its state after it stops executing user instructions; and each core waits to begin fetching and executing user instructions until it receives a notification from the other core via the bus that the other core is ready to begin fetching and executing user instructions. In one embodiment, the predetermined event comprises detecting that the first core has retired a predetermined number of instructions. In one embodiment, microcode waits for the notification.
    Type: Application
    Filed: March 29, 2010
    Publication date: July 28, 2011
    Applicant: VIA TECHNOLOGIES, INC.
    Inventors: G. Glenn Henry, Jui-Shuan Chen
  • Publication number: 20110185154
    Abstract: The invention relates to a spinlock-based multi-core synchronization technique in a real-time environment, wherein multiple processor cores perform spinning attempts to request a lock and the lock is allocated to at most one of the multiple cores for a mutually exclusive operation thereof. A method embodiment of the technique comprises the steps of allocating the lock to the first core requesting it; establishing for each core an indication of a waiting time for receiving the lock; selecting at least one of the spinning cores based on the waiting time indications; and, upon return of the lock, conditionally allocating the lock to the selected core, if the selected core performs a spinning attempt within a predefined time window starting with the return of the lock.
    Type: Application
    Filed: July 22, 2008
    Publication date: July 28, 2011
    Applicant: ELEKTROBIT AUTOMOTIVE SOFTWARE GMBH
    Inventor: Claus Stellwag
  • Publication number: 20110179252
    Abstract: A method and system of efficient use and programming of a multi-processing core device. The system includes a programming construct that is based on stream-domain code. A programmable core based computing device is disclosed. The computing device includes a plurality of processing cores coupled to each other. A memory stores stream-domain code including a stream defining a stream destination module and a stream source module. The stream source module places data values in the stream and the stream conveys data values from the stream source module to the stream destination module. A runtime system detects when the data values are available to the stream destination module and schedules the stream destination module for execution on one of the plurality of processing cores.
    Type: Application
    Filed: January 21, 2011
    Publication date: July 21, 2011
    Applicant: QST Holdings, LLC
    Inventors: Paul Master, Frederick Furtek
  • Publication number: 20110179416
    Abstract: A method is provided for use in a system that includes a host machine that includes multiple physical CPUs (PCPUs) and at least two cache nodes that are shared by different sets of the PCPUs, comprising: creating in a memory device multiple sets of lanes each lane set associated with a respective PCPU set; tracking levels of processing activity of the PCPUs of each PCPU set; using an MSIX vector value to associate lanes with PCPUs; receiving a IO request from any given PCPU from among the multiple PCPUs; and assigning the IO request to a respective lane based at least in part upon the PCPU set associated with the lane and PCPU processing activity levels.
    Type: Application
    Filed: January 21, 2010
    Publication date: July 21, 2011
    Applicant: VMWARE, INC.
    Inventors: Vibhor Patale, Rupesh Bajaj, Edward Goggin, Hariharan Subramanian
  • Publication number: 20110173399
    Abstract: A method and apparatus for distributed parallel messaging in a parallel computing system. The apparatus includes, at each node of a multiprocessor network, multiple injection messaging engine units and reception messaging engine units, each implementing a DMA engine and each supporting both multiple packet injection into and multiple reception from a network, in parallel. The reception side of the messaging unit (MU) includes a switch interface enabling writing of data of a packet received from the network to the memory system. The transmission side of the messaging unit, includes switch interface for reading from the memory system when injecting packets into the network.
    Type: Application
    Filed: January 26, 2010
    Publication date: July 14, 2011
    Applicant: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Dong Chen, Philip Heidelberger, Valentina Salapura, Robert M. Senger, Burkhard Steinmacher-Burow, Yutaka Sugawara
  • Publication number: 20110161627
    Abstract: An apparatus and method is described herein for avoiding inefficient core hopping and providing hardware assisted power state selection. Future idle-activity of cores is predicted. If the residency of activity patterns for efficient core hop scenarios is predicted to be large enough, a core is determined to be efficient and allowed. However, if efficient activity patterns are not predicted to be resident for long enough—inefficient patterns are instead predicted to be resident for longer—then a core hop request is denied. As a result, designers may implement a policy for avoiding core hops that weighs the potential gain of the core hop, such as alleviation of a core hop condition, against a penalty for performing the core hop, such as a temporal penalty for the core hop. Separately, idle durations associated with hardware power states for cores may be predicted in hardware. Furthermore, accuracy of the idle duration prediction is determined.
    Type: Application
    Filed: December 28, 2009
    Publication date: June 30, 2011
    Inventors: Justin J. Song, John H. Crawford
  • Patent number: 7971029
    Abstract: A barrier synchronization device for realizing barrier synchronization of at least 2 processor cores belonging to a same synchronization group among a plurality of processor cores is included in a multi-core processor having a plurality of processor cores, and when two or more processor cores in that multi-core processor belong to the same synchronization group, the included barrier synchronization device is used for realizing barrier synchronization.
    Type: Grant
    Filed: December 15, 2009
    Date of Patent: June 28, 2011
    Assignee: Fujitsu Limited
    Inventors: Hideyuki Unno, Masaki Ukai, Matthew Depetro
  • Publication number: 20110145598
    Abstract: In one embodiment, a processor includes a microcode storage including processor instructions to create and execute a hidden resource manager (HRM) to execute in a hidden environment that is not visible to system software. The processor may further include an extend register to store security information including a measurement of at least one kernel code module of the hidden environment and a status of a verification of the at least one kernel code module. Other embodiments are described and claimed.
    Type: Application
    Filed: December 16, 2009
    Publication date: June 16, 2011
    Inventors: Ned M. Smith, Vedvyas Shanbhogue, Arvind Kumar, Purushottam Goel
  • Publication number: 20110145546
    Abstract: Processing within a multiprocessor computer system is facilitated by: logically clearing a data page by setting, in association with invalidate page table entry or set storage key processing, a page initialize bit for the data page to a clear data value without physically clearing data from the data page; and subsequent to the setting of the page initialize bit, physically clearing data from the page in central storage responsive to a first access to the page with the page initialize bit set to the clear data value, thereby minimizing overall time required to both clear and subsequently access cleared page data. Setting of the page initialize bit may include setting a line clear bit for each page line to the clear data value, and allocating a state machine to clear each line responsive to the line being first accessed with the its line clear bit set.
    Type: Application
    Filed: December 14, 2009
    Publication date: June 16, 2011
    Applicant: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventor: Gary A. Woffinden
  • Publication number: 20110145545
    Abstract: A computer-implemented method for managing processing resources of a computerized system having at least a first processor and a second processor, each of the processors operatively interconnected to a memory storing a set of data to be processed by a processor, the method comprising: monitoring data accessed by the first processor while executing; and if the second processor is at a shorter distance than the first processor from the monitored data, instructing to interrupt execution at the first processor and resume the execution at the second processor.
    Type: Application
    Filed: December 10, 2009
    Publication date: June 16, 2011
    Applicant: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Hillery C. Hunter, Ronald P. Luijten, Phillip Stanley-Marbell
  • Publication number: 20110145798
    Abstract: Debugging software in systems with architecturally significant processor caches. A method may be practiced in a computing environment. The method includes acts for debugging a software application, wherein the software application is configured to use one or more architecturally significant processor caches coupled to a processor. The method includes beginning execution of the software application. A debugger is run while executing the software application. The software application causes at least one of reads or writes to be made to the cache in an architecturally significant fashion. The reads or writes made to the cache in an architecturally significant fashion are preserved while performing debugging operations that would ordinarily disturb the reads or writes made to the cache in an architecturally significant fashion.
    Type: Application
    Filed: December 23, 2009
    Publication date: June 16, 2011
    Applicant: MICROSOFT CORPORATION
    Inventors: Martin Taillefer, Ali-Reza Adl-Tabatabai, Gad Sheaffer, Peter Lachner, Richard Wurdack, Darek Mihocka, Jan Gray
  • Patent number: 7962720
    Abstract: A distributed processing system includes at least two processing elements (100 and 200) which are mutually connected, and each processing element having at least a processing section, a memory section, and a communication section. A first processing section (102) stores data in a predetermined area of a first memory section (101), or reads data stored in a predetermined area of the first memory section (101). A first communication section (103) of one processing element (100) transmits data read from the first memory section (101) to the other processing element (200), or stores data received from the other processing element (200) to the first memory section (101).
    Type: Grant
    Filed: June 1, 2006
    Date of Patent: June 14, 2011
    Assignee: Olympus Corporation
    Inventors: Arata Shinozaki, Mitsunori Kubo
  • Publication number: 20110125992
    Abstract: A multiprocessor computer system comprises a plurality of nodes and an application placement module operable to place an application on a selected group of the compute nodes. The application placement module includes a system tool helper operable to manage operation of a system tool on the selected group of the compute nodes, the system tool operable to monitor execution of the application. Managing system tool operation comprises at least one of distributing, executing, and ending the system tool on one or more compute nodes.
    Type: Application
    Filed: January 25, 2010
    Publication date: May 26, 2011
    Applicant: Cary Inc.
    Inventor: Marlys Kohnke
  • Publication number: 20110113219
    Abstract: A system includes first and second processors, first and second graphics processing units (GPUs), one or more peripheral devices, a switch matrix, and processor-readable memory. The switch matrix comprises programmable data paths between the processors, the GPUs, and the peripheral devices. Software encoded in the process-readable memory includes a first operating system (OS) executed by the first processor, a second OS executed by the second processor, a matrix scheduling engine, and a media interface switch (MIS) engine. The first OS boots faster than the second OS. The matrix scheduling engine runs on both OSs and configures the data paths in the switch matrix to couple the processors and the GPUs, and to couple the processors and the peripheral devices. The MIS engine runs on the operating systems, detects presence of the peripheral devices, and configures the data paths in the switch matrix to couple the processors and the peripheral devices.
    Type: Application
    Filed: November 11, 2009
    Publication date: May 12, 2011
    Applicant: SUNMAN ENGINEERING, INC.
    Inventors: Gholam Reza Golshan, George W. Harvey, Allen Nejah
  • Publication number: 20110113221
    Abstract: System, computer readable medium and method for providing transparent access to shared data (16) in a chip multi-processor system (900), without using locks or transactional memory constructs, where a first set of processing entities (12) communicate with a second set of processing entities (14) via a task queue (20) for executing a code that necessitates access to the shared data (16).
    Type: Application
    Filed: August 18, 2008
    Publication date: May 12, 2011
    Applicant: TELEFONAKTIEBOLAGET L M ERICSSON (PUBL)
    Inventor: Andras Vajda
  • Publication number: 20110113220
    Abstract: Provided is a multiprocessor capable of executing a plurality of threads without decreasing execution efficiency. The multiprocessor includes: a first processor allocating a different register file to each of a predetermined number of threads to be executed from among plural threads, and executing the predetermined number of threads in parallel; and a second processor performing processing according to a processing request made by the first processor. The first processor has areas allocated to the plurality of threads in one-to-one correspondence, makes the processing request to the second processor according to an instruction included in one of the predetermined number of threads, upon receiving a request for writing a value resulting from the processing from the second processor, judges whether the one thread is being executed, and when judging negatively, performs control such that the obtained value is written into one of the areas allocated to the one thread.
    Type: Application
    Filed: June 16, 2009
    Publication date: May 12, 2011
    Inventor: Hiroyuki Morishita
  • Publication number: 20110098972
    Abstract: Systems and methods are provided for performing an estimation using an iteratively reweighted least squares technique on a scenario defined by a design matrix, a response variable vector, and a parameter to be estimated. A system and method can be configured to include a root data processor, where a least squares estimate is calculated by the root data processor during each of a plurality of processing iterations. A plurality of node data processors can also be configured to: update values of a weight matrix according to a current state of the least squares estimate, determine a first intermediate value based on the design matrix and the weight matrix, determine a second intermediate value based on the design and weight matrices and the response variable vector. The root data processor calculates an updated least squares estimate based on the intermediate values.
    Type: Application
    Filed: October 23, 2009
    Publication date: April 28, 2011
    Inventors: Lin (Colin) Chen, Steve Krueger, Christopher D. Bailey
  • Publication number: 20110093638
    Abstract: A method, information processing system, and computer program product are provided for managing operating system interference on applications in a parallel processing system. A mapping of hardware multi-threading threads to at least one processing core is determined, and first and second sets of logical processors of the at least one processing core are determined. The first set includes at least one of the logical processors of the at least one processing core, and the second set includes at least one of a remainder of the logical processors of the at least one processing core. A processor schedules application tasks only on the logical processors of the first set of logical processors of the at least one processing core. Operating system interference events are scheduled only on the logical processors of the second set of logical processors of the at least one processing core.
    Type: Application
    Filed: October 19, 2009
    Publication date: April 21, 2011
    Applicant: International Business Machines Corporation
    Inventors: John Divirgilio, Liana L. Fong, John Lewars, Seetharami R. Seelam, Brian F. Veale
  • Publication number: 20110078411
    Abstract: Techniques are described for managing program execution capacity, such as for a group of computing nodes that are provided for executing one or more programs for a user. In some situations, dynamic program execution capacity modifications for a computing node group that is in use may be performed periodically or otherwise in a recurrent manner, such as to aggregate multiple modifications that are requested or otherwise determined to be made during a period of time, and with the aggregation of multiple determined modifications being able to be performed in various manners. Modifications may be requested or otherwise determined in various manners, including based on dynamic instructions specified by the user, and on satisfaction of triggers that are previously defined by the user. In some situations, the techniques are used in conjunction with a fee-based program execution service that executes multiple programs on behalf of multiple users of the service.
    Type: Application
    Filed: September 29, 2009
    Publication date: March 31, 2011
    Inventors: Alex Maclinovsky, Blake Meike, Chiranjeeb Buragohain, Christopher Reddy Kommareddy, Geoffrey Scott Pare, John W. Heitmann, Sumit Lohia, Liang Chen, Zachary S. Musgrave
  • Publication number: 20110078705
    Abstract: Techniques are described for managing program execution capacity, such as for a group of computing nodes that are provided for executing one or more programs for a user. In some situations, dynamic program execution capacity modifications for a computing node group that is in use may be performed periodically or otherwise in a recurrent manner, such as to aggregate multiple modifications that are requested or otherwise determined to be made during a period of time. In addition, various operations may be performed to attribute causality information or other responsibility for particular program execution capacity modifications that are performed, including by attributing a single event as causing one capacity modification, and a combination of multiple events as possible causes for another capacity modification. The techniques may in some situations be used in conjunction with a fee-based program execution service that executes multiple programs on behalf of multiple users of the service.
    Type: Application
    Filed: September 29, 2009
    Publication date: March 31, 2011
    Inventors: Alex Maclinovsky, Blake Meike, Chiranjeeb Buragohain, Christopher Reddy Kommareddy, Geoffry Scott Pare, John W. Heitmann, Sumit Lohia, Liang Chen
  • Publication number: 20110078410
    Abstract: Disclosed are a method of and system for multiple party communications in a processing system including multiple processing subsystems. Each of the processing subsystems includes a central processing unit and one or more network adapters for connecting said each processing subsystem to the other processing subsystems. A multitude of nodes are established or created, and each of these nodes is associated with one of the processing subsystems. A first aspect of the invention involves pipelined communication using RDMA among three nodes, where the first node breaks up a large communication into multiple parts and sends these parts one after the other to the second node using RDMA, and the second node in turn absorbs and forwards each of these parts to a third node before all parts of the communication arrive from the first node.
    Type: Application
    Filed: July 17, 2006
    Publication date: March 31, 2011
    Applicant: International Business Machines Corporation
    Inventors: Robert S. Blackmore, Rama K. Govindaraju, Peter H. Hochschild, Chulho Kim, Rajeev Sivaram, Richard R. Treumann, Hanhong Xue
  • Publication number: 20110078412
    Abstract: A mechanism is provided for improving the performance and efficiency of multi-core processors. A system controller in a data processing system determines an operational function for each primary processor core in a set of primary processor cores in a primary processor core logic layer and for each secondary processor core in a set of secondary processor cores in a secondary processor core logic layer, thereby forming a set of determined operational functions. The system controller then generates an initial configuration, based on the set of determined operational functions, for initializing the set of primary processor cores and the set of secondary processor cores in the three-dimensional processor core architecture. The initial configuration indicates how at least one primary processor core of the set of primary processor cores collaborate with at least one secondary processor core of the set of secondary processor cores.
    Type: Application
    Filed: September 30, 2009
    Publication date: March 31, 2011
  • Publication number: 20110072240
    Abstract: Self-similar processing by unit processing cells may together solve a problem. A unit processing cell may include a processor, a memory and a plurality of Input/Output (IO) channels coupled to the processor. The memory may include a dictionary having one or more instructions that configure the processor to perform at least one function. The plurality of IO channels may be used to communicably couple the unit processing cell with a plurality of other unit processing cells each including their own respective dictionary. The unit processing cell and the plurality of other unit processing cells may be independent of one another and may perform together without a centralized control. The processor may update the dictionary so that the unit processing cell builds a different dictionary from the plurality of other unit processing cells, thereby being self-similar to the plurality of other unit processing cells.
    Type: Application
    Filed: September 21, 2010
    Publication date: March 24, 2011
    Inventor: Bjorn J. Gruenwald
  • Patent number: 7913063
    Abstract: A first performance indicator associated with a first agent is received from a workforce management system. A second performance indicator associated with a second agent is also received from the workforce management system. The first agent and the second agent are ranked in a queue of available agents based upon at least the first performance indicator and the second performance indicator. This produces a first queue rank associated with the first agent. An incoming call directed to the queue of available agents is received. An agent to service the incoming call is selected from the queue of available agents based upon the first queue rank.
    Type: Grant
    Filed: June 3, 2008
    Date of Patent: March 22, 2011
    Assignee: Verint Americas Inc.
    Inventor: Thomas Lyerly
  • Publication number: 20110060889
    Abstract: Examples of a system, method and computer accessible medium are provided to generate a predicate prediction for a distributed multi-core architecture. Using such system, method and computer accessible medium, it is possible to intelligently encode approximate predicate path information on branch instructions. Using this statically generated information, distributed predicate predictors can generate dynamic predicate histories that can facilitate an accurate prediction of high-confidence predicates, while minimizing the communication between the cores.
    Type: Application
    Filed: September 9, 2009
    Publication date: March 10, 2011
    Applicant: Board of Regents, University of Texas System
    Inventors: Doug Burger, Stephen Keckler, Hadi Esmaeilzadeh
  • Publication number: 20110047354
    Abstract: A parallel processing architecture comprising a cluster of embedded processors that share a common code distribution bus. Pages or blocks of code are concurrently loaded into respective program memories of some or all of these processors (typically all processors assigned to a particular task) over the code distribution bus, and are executed in parallel by these processors. A task control processor determines when all of the processors assigned to a particular task have finished executing the current code page, and then loads a new code page (e.g., the next sequential code page within a task) into the program memories of these processors for execution. The processors within the cluster preferably share a common memory (1 per cluster) that is used to receive data inputs from, and to provide data outputs to, a higher level processor. Multiple interconnected clusters may be integrated within a common integrated circuit device.
    Type: Application
    Filed: November 5, 2010
    Publication date: February 24, 2011
    Applicant: Schism Electronics, L.L.C.
    Inventors: Richard F. Hobson, Bill Ressl, Allan R. Dyck
  • Publication number: 20110041128
    Abstract: An apparatus and method for distributed data processing is described herein. A main processor programs a mini-processor to process an incoming data stream. The mini-processor is located in close proximity to hardware components operating on the input data stream. A copy engine is also provided for copying data from multiple protocol data units in a single copy operation.
    Type: Application
    Filed: August 13, 2009
    Publication date: February 17, 2011
    Inventors: Mathias Kohlenz, Idreas Mir, Irfan Anwar Khan, Sathyanarayan Madhusudan, Shailesh Maheshwari, Srividhya Krishnamoorthy, Sandeep Urgaonkar, Thomas Klingenbrunn, Tim Tynghuei Liou
  • Publication number: 20110022833
    Abstract: One or more computational units of a computer system are selectively altered in terms of performance according to which of the one or more computational units has a higher performance sensitivity than others of the computational units.
    Type: Application
    Filed: July 24, 2009
    Publication date: January 27, 2011
    Inventors: Sebastien Nussbaum, Alexander Branover, John Kalamatianos
  • Publication number: 20110004740
    Abstract: A method of setting transfer rate for information processing apparatus having a plurality of processing apparatus including a processor outputting data and connected by one or a plurality of data transfer apparatuses for transferring the data outputted from the processor, the method includes obtaining a dividing information indicating a manner of dividing the information processing apparatus into a plurality of partitions including at least one of the plurality of processing apparatuses, and setting a transfer rate of each partition for broadcasting data to all of the processors included in the plurality of processing apparatuses in each partition based on the obtained dividing information.
    Type: Application
    Filed: June 28, 2010
    Publication date: January 6, 2011
    Applicant: FUJITSU LIMITED
    Inventors: Yuuji KONNO, Hiroyuki Wada, Hiromi Fukumura, Hiroshi Murakami
  • Publication number: 20100332796
    Abstract: Described herein are systems and methods for implementing a processor-local (e.g., a CPU-local) storage mechanism. An exemplary system includes a plurality of processors executing an operating system, the operating system including a processor local storage mechanism, wherein each processor accesses data unique to the processor based on the processor local storage mechanism. Each of the plurality of processors of the system may have controlled access to the resource and each of the processors is dedicated to one of a plurality of tasks of an application. The application including the plurality of tasks may be replicated using the processor local storage mechanism, wherein each of the tasks of the replicated application includes an affinity to one of the plurality of processors.
    Type: Application
    Filed: June 25, 2009
    Publication date: December 30, 2010
    Inventors: Andrew Gaiarsa, Maarten Koning
  • Publication number: 20100333193
    Abstract: A data security system includes a single central processing unit (CPU), a plurality of different security zones corresponding to different levels of security classification, a plurality of operating systems, a communications interface, a global zone, and a memory coupled to the plurality of security zones and the global zone. The CPU includes a plurality of processing cores and each security zone is associated with a different one of the processing cores. The global zone is communicatively coupled to the communications interface and the plurality of security zones, and is associated with a different one of the processing cores than the plurality of security zones. The global zone directs communications between the communications interface and the plurality of security zones. Each processing core executes a separate one of the plurality of operating systems, thereby providing separate processing capability on the single CPU for each of the different levels of security classification.
    Type: Application
    Filed: June 24, 2009
    Publication date: December 30, 2010
    Applicant: Raytheon Company
    Inventors: Jonathan D. Goding, Randall S. Brooks
  • Publication number: 20100332786
    Abstract: A system and method for invalidating obsolete virtual/real address to physical address translations may employ translation lookaside buffers to cache translations. TLB entries may be invalidated in response to changes in the virtual memory space, and thus may need to be demapped. A non-cacheable unit (NCU) residing on a processor may be configured to receive and manage a global TLB demap request from a thread executing on a core residing on the processor. The NCU may send the request to local cores and/or to NCUs of external processors in a multiprocessor system using a hardware instruction to broadcast to all cores and/or processors or to multicast to designated cores and/or processors. The NCU may track completion of the demap operation across the cores and/or processors using one or more counters, and may send an acknowledgement to the initiator of the demap request when the global demap request has been satisfied.
    Type: Application
    Filed: June 29, 2009
    Publication date: December 30, 2010
    Inventors: Gregory F. Grohoski, Paul J. Jordan, Mark A. Luttrell, Zeid Hartuon Samoail
  • Publication number: 20100325390
    Abstract: An image processing apparatus includes connectors to each of which position information is allocated, processing units configured to be connected to the connectors, each of the processing units is configured to read position information, and to output an IP address of the processing unit determined based on the position information and identification information which denotes a function of the processing unit via the connector, and a control unit configured to be connected with the connectors in compliance with a standard for a transmission line in an IP (internet protocol) network, and to manage the IP address and the identification information of the processing unit.
    Type: Application
    Filed: March 12, 2010
    Publication date: December 23, 2010
    Inventor: Kenshi DACHIKU
  • Publication number: 20100325389
    Abstract: A microprocessor communications system utilizes a combination of an activity status monitor register and one or more address select registers to read from a communications port of one processor and write to a communications port of an adjacent processor in a single instruction word loop. This circumvents the requirement to save and retrieve data and/or instructions from memory. A stack register selector contains a plurality of stack registers and a plurality of shift registers, which are interconnected. The stack registers are selected by the shift registers in such a way that the stack registers operate in a circular repeating pattern, which prevents overflow and underflow of stacks.
    Type: Application
    Filed: April 4, 2008
    Publication date: December 23, 2010
    Inventors: Charles H. Moore, Jeffrey Arthur Fox, John W. Rible
  • Patent number: 7849289
    Abstract: In parallel computers, sorting and calculation of large-scale data are realized while large-scale data is held in the respective processors without sharing the large-scale data between the processors so as to reduce communication between the processors. An information processing method gives global dimension value numbers common to all the processing modules to the dimension values for calculation, calculates measures for each of the dimension value numbers within the processing module, and lastly calculates measures commonly between all processing modules. The value list and pointer arrangement to the value list are locally held in each processing module and the order of the dimension values as a reference is globally held between processing modules. As a result, it is possible to eliminate mutual access by processing modules for acquiring data required for calculation and only data required for deciding the order of the dimension values is communicated between the processing modules.
    Type: Grant
    Filed: October 19, 2004
    Date of Patent: December 7, 2010
    Assignee: Turbo Data Laboratories, Inc.
    Inventor: Shinji Furusho
  • Publication number: 20100306501
    Abstract: A hybrid computer system is provided, including first and second computer devices. The first computer device is configured with the second computer device via a connection unit. Each of the first computer device and the second computer device is capable of operating independently when the first computer device and the second computer device are separated. The first computer device and the second computer device communicate with each other in a master-slave structure and combined with each other into a single system. The peripheral devices of the first and second computer devices are shared, wherein the first and second computer devices are master/slave systems or slave/master systems.
    Type: Application
    Filed: December 16, 2009
    Publication date: December 2, 2010
    Applicant: Institute for Information Industry
    Inventors: Teng-Chang Chang, Yun-Kai Hsu, Yu-Zhi Chen
  • Publication number: 20100306489
    Abstract: A multiprocessor computer system comprises a plurality of processors and a plurality of nodes, each node comprising one or more processors. A local memory in each of the plurality of nodes is coupled to the processors in each node, and a hardware firewall comprising a part of one or more of the nodes is operable to prevent a write from an unauthorized processor from writing to the local memory.
    Type: Application
    Filed: May 29, 2009
    Publication date: December 2, 2010
    Applicant: Cray Inc.
    Inventors: Dennis C. Abts, Steven L. Scott, Aaron F. Godfrey
  • Patent number: 7840780
    Abstract: In one embodiment, a node comprises a plurality of processor cores and a node controller configured to receive a first read operation addressing a first register. The node controller is configured to return a first value in response to the first read operation, dependent on which processor core transmitted the first read operation. In another embodiment, the node comprises the processor cores and the node controller. The node controller comprises a queue shared by the processor cores. The processor cores are configured to transmit communications at a maximum rate of one every N clock cycles, where N is an integer equal to a number of the processor cores. In still another embodiment, a node comprises the processor cores and a plurality of fuses shared by the processor cores. In some embodiments, the node components are integrated onto a single integrated circuit chip (e.g. a chip multiprocessor).
    Type: Grant
    Filed: April 4, 2008
    Date of Patent: November 23, 2010
    Assignee: Globalfoundries Inc.
    Inventors: William A. Hughes, Vydhyanathan Kalyanasundharam, Kiran K. Bondalapati, Philip E. Madrid, Stephen C. Ennis
  • Publication number: 20100293127
    Abstract: Controlled constraint sharing in parallel problem solvers is described. In embodiments, constraint sharing in a problem solver is controlled by comparing a total number of shared constraints obtained in a time interval to a target, and regulating the subsequent number of shared constraints obtained from other problem solvers accordingly. Embodiments describe how the regulation of the subsequent number of shared constraints can be achieved by controlling the size of constraints shared by other problem solvers. Embodiments describe how an additive increase/multiplicative decrease algorithm can be used to determine the size of constraints to be exchanged. Embodiments also describe how the utility of the shared constraints can be determined, and used to control the size of constraints shared by other problem solvers. In embodiments, the problem solver is a Boolean satisfiability problem solver.
    Type: Application
    Filed: May 13, 2009
    Publication date: November 18, 2010
    Applicant: Microsoft Corporation
    Inventors: Youssef Hamadi, Said Jabbour
  • Publication number: 20100287320
    Abstract: Described embodiments provide interprocessor communication between at least two processors of an integrated circuit, each processor running at least one task. For each processor, a proxy task is generated corresponding to each task running on each other processor. A task identifier for each task, and a look-up table having each task identifier associated with each other processor running the task is also generated. When a message is sent from a source task to a destination task that is running on a different processor than the source task, the source task communicates with the proxy task of the destination task. The proxy task appends the task identifier for the destination task to the message and sends the message to an interprocessor communication interface. Based on the task identifier, the processor running the destination task is determined and the destination task retrieves the message.
    Type: Application
    Filed: May 6, 2009
    Publication date: November 11, 2010
    Inventors: Carlos Querol, James N. Snead, Michael S. Hicken, Randal S. Rysavy, Carl E. Forhan
  • Publication number: 20100281483
    Abstract: A scheduling co-processor for scheduling the execution of threads on a processor is disclosed. In certain embodiments, the scheduling co-processor includes one or more engines (such as lookup tables) that are programmable with a Petri-net representation of a thread scheduling algorithm. The scheduling co-processor may further include a token list to store tokens associated with the Petri-net; an enabled-thread list to indicate which threads are enabled for execution in response to particular tokens being present in the token list; and a ready-thread list to indicate which threads from the enabled-thread list are ready for execution when data and/or space availability conditions associated with the threads are satisfied.
    Type: Application
    Filed: April 30, 2009
    Publication date: November 4, 2010
    Applicant: Novafora, Inc.
    Inventors: Shlomo Selim Rakib, Alexander Hubris, Muhammad Ahmed
  • Publication number: 20100281237
    Abstract: In an information processing apparatus in which data processing is performed in a predetermined sequence by processing modules connected to a ring bus, if an amount of data generated by input data in the ring bus is not considered, the data amount exceeds an amount of data that can be held by the processing modules on the ring bus, and a data collision often occurs, so that processing efficiency of the ring bus deteriorates. An amount of data input into the ring bus is controlled so that the total sum of data amounts output to the ring bus from processing units used for processing does not exceed a maximum amount of data that can be held by the processing modules on the ring bus.
    Type: Application
    Filed: April 28, 2010
    Publication date: November 4, 2010
    Applicant: CANON KABUSHIKI KAISHA
    Inventors: Daiji Kirihata, Hisashi Ishikawa, Hirowo Inoue, Isao Sakamoto
  • Patent number: 7822889
    Abstract: A mechanism is provided for transmitting data in a data network. A first processor of the data network receives data to be transmitted to a second processor within the data network. A determination is made if the data has previously been routed through an indirect communication link from a source processor, the indirect communication link being a communication link that does not directly couple the source processor to a final destination processor which is to receive the data. A communication link is selected over which to transmit the data from the first processor to the second processor based on results of determining if the data has previously been routed through an indirect communication link. Finally, the data is transmitted from the first processor to the second processor using the selected communication link.
    Type: Grant
    Filed: August 27, 2007
    Date of Patent: October 26, 2010
    Assignee: International Business Machines Corporation
    Inventors: Lakshminarayana B. Arimilli, Ravi K. Arimilli, Ramakrishnan Rajamony
  • Publication number: 20100268915
    Abstract: A data processing system comprises at least one processing unit, a virtualization layer, and a remote update programming idiom accelerator. The remote update programming idiom accelerator is configured to receive a complex remote update programming idiom from a remote node. Responsive to a determination that the sequence of instructions in the complex remote update programming idiom is longer than a dedicated processor threshold, the remote update programming idiom accelerator is configured to request a processing unit from the virtualization layer in the data processing system, and receive an allocation of a processing unit from the virtualization layer. The allocated processing unit is configured to read the data from the storage location local to the data processing system, execute the sequence of instructions to perform the update operation on the data to form result data, and write the result data to the storage location local to the data processing system.
    Type: Application
    Filed: April 16, 2009
    Publication date: October 21, 2010
    Applicant: International Business Machines Corporation
    Inventors: Ravi K. Arimilli, Satya P. Sharma