Reconfiguring Circuits For Testing, E.g., Lssd, Partitioning, Etc. (epo) Patents (Class 714/E11.16)
  • Patent number: 11900135
    Abstract: An emulation processor may be configured to support emulating unknown binary logic based on non-arbitrariness of the unknown binary logic. For example, an unknown binary logic signal may take the finite binary values of 0 and 1. The circuitry in the emulation processor is configured to generate and propagate outputs based on the interactions of known input binary signals with the unknown input binary signals having non-arbitrary states. The emulation processor may support the both combinational and sequential operations associated with the unknown binary logic.
    Type: Grant
    Filed: December 6, 2018
    Date of Patent: February 13, 2024
    Assignee: Cadence Design Systems, Inc.
    Inventors: Mitchell G Poplack, Yuhei Hayashi
  • Patent number: 11755804
    Abstract: An integrated circuit includes an intellectual property core, scan data pipeline circuitry configured to convey scan data to the intellectual property core, and scan control pipeline circuitry configured to convey one or more scan control signals to the intellectual property core. The integrated circuit also includes a wave shaping circuit configured to detect a trigger event on the one or more scan control signals and, in response to detecting the trigger event, suppress a scan clock to the intellectual property core for a selected number of clock cycles.
    Type: Grant
    Filed: December 28, 2021
    Date of Patent: September 12, 2023
    Assignee: Xilinx, Inc.
    Inventors: Albert Shih-Huai Lin, Rambabu Nerukonda, Niravkumar Patel, Amitava Majumdar
  • Patent number: 11519959
    Abstract: This disclosure describes a reduced pin bus that can be used on integrated circuits or embedded cores within integrated circuits. The bus may be used for serial access to circuits where the availability of pins on ICs or terminals on cores is limited. The bus may be used for a variety of serial communication operations such as, but not limited to, serial communication related test, emulation, debug, and/or trace operations of an IC or core design. Other aspects of the disclosure include the use of reduced pin buses for emulation, debug, and trace operations and for functional operations.
    Type: Grant
    Filed: November 17, 2020
    Date of Patent: December 6, 2022
    Assignee: TEXAS INSTRUMENTS INCORPORATED
    Inventor: Lee D. Whetsel
  • Publication number: 20130346819
    Abstract: A method for scan testing an integrated circuit that includes a plurality of on-chip logic modules includes configuring the integrated circuit for module level scan testing and chip level scan testing by way of an external automatic test pattern generator (ATPG) tool. The ATPG tool generates first and second sets of test patterns for module level and chip level scan testing of the integrated circuit. The ATPG tool generates the second set of test patterns by excluding the design faults which have already been targeted during the module level scan testing, from the first set of test patterns and reduces the overall time required for scan testing the integrated circuit.
    Type: Application
    Filed: June 21, 2012
    Publication date: December 26, 2013
    Applicant: FREESCALE SEMICONDUCTOR, INC
    Inventors: Rajan Aggarwal, Ashutosh Anand, Ankit Bhargava, Mishika Singla, Prashant K. Sonone
  • Publication number: 20110264970
    Abstract: Plural scan test paths (401) are provided to reduce power consumed during testing such as combinational logic (101). A state machine (408) operates according to plural shift states (500) to control each scan path in capturing data from response outputs of the combinational logic and then shifting one bit at a time to reduce the capacitive and constant state power consumed by shifting the scan paths.
    Type: Application
    Filed: July 8, 2011
    Publication date: October 27, 2011
    Applicant: TEXAS INSTRUMENTS INCORPORATED
    Inventor: Lee D. Whetsel
  • Publication number: 20110209013
    Abstract: An integrated circuit or circuit board includes functional circuitry and a scan path. The scan path includes a test data input lead, a test data output lead, a multiplexer, and scan cells. A dedicated scan cell has a functional data output separate from a test data output. Shared scan cells each have a combined output for functional data and test data. The shared scan cells are coupled in series. The test data input of the first shared scan cell is connected to the test data output of the dedicated scan cell. The combined output of one shared scan cell is coupled to the test data input lead of another shared scan cell. The multiplexer has an input coupled to the test data output, an input connected to the combined output lead of the last shared scan cell in the series, and an output connected in the scan path.
    Type: Application
    Filed: May 9, 2011
    Publication date: August 25, 2011
    Applicant: TEXAS INSTRUMENTS INCORPORATED
    Inventor: Lee D. Whetsel
  • Publication number: 20100287428
    Abstract: A system for testing a circuit. The system comprises a first circuit mounted on an embedded first circuit board and a test circuit mounted on the embedded first circuit board. The system further comprises a second circuit board on the first circuit board, the second circuit board including a second circuit and a test device external to the first and second circuit board. The test circuit is effective to send at least one first test signal from the test circuit to the first circuit, receive a first response of the at least one first test signal from the first circuit, and forward the first response to the test device.
    Type: Application
    Filed: May 5, 2009
    Publication date: November 11, 2010
    Applicant: BAE SYSTEMS INFORMATION AND ELECTRONIC SYSTEMS INTEGRATION INC.
    Inventor: Michael W. Wernicki
  • Publication number: 20100107023
    Abstract: Various example embodiments are disclosed. According to one example embodiment, an integrated circuit may include a mode block, a plurality of data blocks, and a reset node. The mode block may be configured to output a test mode signal, a scan mode signal, and a trigger signal based on a received data input. The plurality of data blocks may each include registers configured to store data, each of the plurality of data blocks being configured to write over at least some of the data stored in their respective registers in response to receiving a write-over instruction. The reset node may be configured to reset the registers based on receiving either a first reset input or a second reset input. The integrated circuit may be configured to enter a test mode, enter a scan mode, and exit the test mode.
    Type: Application
    Filed: October 28, 2008
    Publication date: April 29, 2010
    Applicant: Broadcom Corporation
    Inventors: Amar Guettaf, Love Kothari
  • Publication number: 20100095177
    Abstract: A method, apparatus and computer program product are provided for implementing diagnostics of transitional scan chain defects using structural Logic Built In Self Test (LBIST) test patterns. A LBIST test pattern is applied to the device under test and multiple system clock sequences with variable loop control are applied in a passing operating region and scan data is unloaded. The LBIST test pattern is applied to the device under test and multiple system clock sequences with variable loop control are applied in a failing operating region for the device under test and scan data is unloaded. Then the unload data from the passing operating region and the failing operating region are compared. The identified latches having different results are identified as potential AC defective latches. The identified potential AC defective latches are sent to a Physical Failure Analysis system.
    Type: Application
    Filed: October 13, 2008
    Publication date: April 15, 2010
    Applicant: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Donato Orazio Forlenza, Orazio Pasquale Forlenza, Phong T. Tran
  • Publication number: 20100064190
    Abstract: A system comprises a circuit analysis module configured to analyze a device under test (DUT), the DUT comprising a plurality of latches coupled together in a scan chain. The circuit analysis module analyzes a DUT for sub-circuits within the DUT and identifies a logical description of identified sub-circuits. A don't-care analysis module couples to the circuit analysis module identifies absolute don't-care latches associated with the identified sub-circuits. A sub-circuit exception module couples to the circuit analysis module and selects weighted input values for an identified sub-circuit, based on the identified absolute don't-care latches and the logical description of the identified sub-circuit. The sub-circuit exception module stores the selected weighted input values for the sub-circuit and associates the selected weighted input values with the logical description.
    Type: Application
    Filed: September 9, 2008
    Publication date: March 11, 2010
    Applicant: International Business Machines Corporation
    Inventors: Samuel I. Ward, Benjiman L. Goodman, Joshua P. Hernandez, Linton B. Ward, JR.
  • Publication number: 20090319841
    Abstract: A design structure is embodied in a machine readable medium for designing, manufacturing, or testing an integrated circuit. The design structure includes an input register coupled to a data processing unit input and a test operation mode and functional operation mode. In the test mode operation, the register operates in a clocked mode such that, during the test operation mode, the register propagates data to the data processing unit in response to a clock signal. In the functional operation mode, the register operates in a data flush mode such that the register propagates data to the data processing unit in response to the data. The functional mode is enabled by a flush enable signal and the test mode is enabled by an opposite state of the flush enable signal.
    Type: Application
    Filed: June 24, 2008
    Publication date: December 24, 2009
    Inventors: Steven M. Eustis, Kevin W. Gorman, David E. Lackey, Michael R. Ouellette
  • Publication number: 20090089634
    Abstract: Test circuits located on semiconductor die enable a tester to test a plurality of die/ICs in parallel by inputting both stimulus and response patterns to the plurality of die/ICs. The response patterns from the tester are input to the test circuits along with the output response of the die/IC to be compared. Also disclosed is the use of a response signal encoding scheme whereby the tester transmits response test commands to the test circuits, using a single signal per test circuit, to perform: (1) a compare die/IC output against an expected logic high, (2) a compare die/IC output against an expected logic low, and (3) a mask compare operation. The use of the signal encoding scheme allows functional testing of die and ICs since all response test commands (i.e. 1-3 above) required at each die/IC output can be transmitted to each die/IC output using only a single tester signal connection per die/IC output. In addition to functional testing, scan testing of die and ICs is also possible.
    Type: Application
    Filed: December 8, 2008
    Publication date: April 2, 2009
    Applicant: TEXAS INSTRUMENTS INCORPORATED
    Inventors: Lee D. Whetsel, Alan Hales
  • Publication number: 20090083597
    Abstract: Methods and apparatuses for synthesizing and/or implementing an augmented multimode compactor are described.
    Type: Application
    Filed: October 31, 2008
    Publication date: March 26, 2009
    Applicant: Synopsys, Inc.
    Inventor: Emil Gizdarski
  • Publication number: 20090083596
    Abstract: Methods and apparatuses for synthesizing and/or implementing an augmented multimode compactor are described.
    Type: Application
    Filed: September 22, 2008
    Publication date: March 26, 2009
    Applicant: Synopsys, Inc.
    Inventor: Emil Gizdarski
  • Publication number: 20090013226
    Abstract: A system comprises a plurality of components, scan chain selection logic coupled to the components, and override selection logic coupled to the scan chain selection logic. The scan chain selection logic selects various of the components to be members of a scan chain under the direction of a host computer. The override selection logic detects a change in the scan chain and, as a result, blocks the entire scan chain from progressing.
    Type: Application
    Filed: May 7, 2008
    Publication date: January 8, 2009
    Applicant: TEXAS INSTRUMENTS INCORPORATED
    Inventors: Gary L. SWOBODA, Robert A. MCGOWAN
  • Publication number: 20080163018
    Abstract: The present invention relates to a test control circuit controlling a test of an internal circuit and a semiconductor memory device including the same. The present invention provides a test control circuit having: an encoding unit encoding test mode signals input from the external and transferring them to global lines; a decoding unit decoding the signals transferred from the global lines; and a test mode enable signal generating circuit generating test mode enable signals controlling a test mode enable by combining the output signals of the decoding unit and an address designating a test mode item code.
    Type: Application
    Filed: July 13, 2007
    Publication date: July 3, 2008
    Inventor: Woo Hyun SEO