Design Entry Patents (Class 716/102)
  • Publication number: 20120066654
    Abstract: Spare cells are placed in an IC design using stability values associated with logic cones of the design. A desired spare cell utilization rate is assigned to a cone based on its stability value, and an actual spare cell utilization rate for the cone bounding box is calculated. If the actual utilization rate is less than the desired utilization rate, additional spare cells are inserted as needed to attain the desired utilization rate. The stability value is provided by a logic or circuit designer, or derived from historical information regarding the logic cone in a previous design iteration. Spare cells are placed for each logic cone in the design until a global spare cell utilization target is exceeded. The spare cell placement method can be an integrated part of a placement directed synthesis which is followed by early mode padding and design routing.
    Type: Application
    Filed: September 10, 2010
    Publication date: March 15, 2012
    Applicant: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Jeremy T. Hopkins, Julie A. Rosser, Samuel I. Ward
  • Patent number: 8136064
    Abstract: A data processor which includes: a circuit data providing section which provides circuit data including a character string; a replacement section which bijectively maps the character string of the provided circuit data to integer values; and a data developer which executes data processing including hierarchical development with respect to the circuit data of the integer values obtained by the replacement section.
    Type: Grant
    Filed: July 30, 2009
    Date of Patent: March 13, 2012
    Assignee: Sony Corporation
    Inventor: Shinichiro Okamoto
  • Patent number: 8136058
    Abstract: A method and system of representing geometrical layout design data having a plurality of polygons in electronic design systems is provided. The method includes extracting topological characteristics data corresponding to one or more polygons to form one or more virtual topological polygon. Each virtual polygon is represented by Scan order (SO), with SO corresponding to order in which the vertices of polygon are encountered by a sweep line moving in predefined direction with a predefined angular orientation. The method further includes determining dimensional data corresponding to each polygon to form one or more sized polygons using one or more virtual topological polygon. Thereafter, the spatial data corresponding to each sized polygon is extracted to instantiate each sized polygon.
    Type: Grant
    Filed: February 27, 2007
    Date of Patent: March 13, 2012
    Inventor: Ravi R Pai
  • Publication number: 20120054699
    Abstract: Exemplary embodiments include a computer implemented method for large block and structured synthesis, the method including determining initial design data from starting points for a synthesis flow, receiving user-directed structuring is incorporated into the synthesis flow, applying logical synthesis on the initial design data, applying a physical design on the initial design data, determining whether circuit design parameters have been met and in response to circuit design parameters not being met, adjusting the circuit design parameters.
    Type: Application
    Filed: August 25, 2010
    Publication date: March 1, 2012
    Applicant: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Minsik Cho, Victor N. Kravets, Smita Krishnaswamy, Dorothy Kucar, Jagannathan Narasimhan, Ruchir Puri, Haifeng Qian, Haoxing Ren, Chin Ngai Sze, Louise H. Trevillyan, Hua Xiang, Matthew M. Ziegler
  • Publication number: 20120054698
    Abstract: A computer-executed method is disclosed which recognizes two circuits, an original and a modified circuit, with the original circuit having a first logic and the modified circuit having a second logic. The second logic is obtained by converting a modified specification into a preliminary gate-level form. The second logic contains at least one desired logic change relative to the first logic in order to realize the modified specification. The method includes detecting an equivalence line in the original circuit, such that the first and second logic are equivalent from the circuit inputs to the equivalence line, and finding at least one point of change amongst the logic gates that are neighboring the equivalence line.
    Type: Application
    Filed: August 25, 2010
    Publication date: March 1, 2012
    Applicant: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Eli Arbel, David Geiger, Victor Kravets, Smita Krishnaswamy, Ruchir Puri, Haoxing Ren
  • Patent number: 8127261
    Abstract: Computer-implemented techniques are disclosed for defining an environment for formal verification of a design-under-test. Initially there is extraction of design inputs by a design analysis module, and presentation of the inputs on a graphical user interface. Behavior options for the design inputs are offered on the graphical user interface for selection by an operator. Environment code that is descriptive of the design inputs and selected behavior options is emitted, typically in a hardware description language, for submission to a formal verification tool. A meta-code file containing the assigned behavior options is generated to aid subsequent sessions.
    Type: Grant
    Filed: January 20, 2009
    Date of Patent: February 28, 2012
    Assignee: International Business Machines Corporation
    Inventors: Gadiel Auerbach, Matan Gal, Ziv Nevo
  • Patent number: 8121822
    Abstract: In accordance with one embodiment, a plurality of empirical measurements of a fabricated integrated circuit including a fabricated transistor having multiple terminals is received. The plurality of empirical measurements each include an empirical terminal current set and an empirical terminal voltage set for the terminals of the fabricated transistor. A mathematical simulation model of a simulated transistor is also received. Utilizing the mathematical simulation model, an intermediate data set is calculated by determining, for each of a plurality of different terminal voltage sets, a simulated terminal current set and a simulated terminal charge set. A modeling tool processes the intermediate data set to obtain a time domain simulation model of the fabricated transistor that, for each of the plurality of empirical measurements, provides a simulated terminal charge set. The time domain simulation model is stored in a computer-readable data storage medium.
    Type: Grant
    Filed: April 9, 2009
    Date of Patent: February 21, 2012
    Assignee: International Business Machines Corporation
    Inventors: Emrah Acar, Kanak B. Agarwal, Damir Jamsek, Sani R. Nassif
  • Patent number: 8117570
    Abstract: An integrated circuit design system able to generate circuit data enabling a clear grasp of power switch cells and circuit cells whose power is cut off without obstructing the efficiency of the design, a method of same, and a program of same, wherein in the description of RTL data generated at an RTL data generation unit, a hierarchical block of an upper level with a lower level comprised of a hierarchical block corresponding to a circuit whose power should be cut off in response to a control signal and a predetermined virtual power switch cell to which this control signal is input is prepared. By obtaining a grasp of the relationship between the virtual power switch cells in the description of the RTL data and the hierarchical blocks of the same level as the virtual power switch cells, the relationship between the power switch cells and the circuits whose power should be cut off in a real circuit can be clearly grasped.
    Type: Grant
    Filed: January 10, 2008
    Date of Patent: February 14, 2012
    Assignee: Sony Corporation
    Inventor: Masahide Yamagata
  • Patent number: 8117577
    Abstract: A computer-implemented method of identifying timing paths of a circuit block can include representing a circuit block including at least one bypassable component as a block diagram having a plurality of elements linked by nodes. The method can include generating a map file including a text description of each element within the block diagram, wherein the text description of each element specifies a bypass indicator for the element. The method also can include generating a plurality of sub-paths from the map file, determining timing paths from the plurality of sub-paths by selectively combining different ones of the plurality of sub-paths according to commonality of starting points and ending points of the plurality of sub-paths, and outputting the timing paths.
    Type: Grant
    Filed: January 28, 2009
    Date of Patent: February 14, 2012
    Assignee: Xilinx, Inc.
    Inventors: Vasisht M. Vadi, Alvin Y. Ching, Subodh Kumar, Richard D. Freeman, Ian L. McEwen, Philip R. Haratsaris, Jaime D. Lujan, Eric M. Schwarz
  • Patent number: 8112730
    Abstract: A structural primitive verification tool for memory compilers is described. A first set of memory structural primitives are supplied by a designer by filling in fields of a presented user interface. The first set of structural primitives describe certain physical layout features of a proposed memory array in an integrated circuit. A first model of a memory instance derived from the first set of memory structural primitives supplied by the designer is compared to a second model of a memory instance derived from a memory layout file from a memory compiler under-test. The first model is verified against the second model to verify to an integrity of the first set of memory structural primitives supplied by the designer compared to the memory layout file derived from a second set of memory structural primitives configuring that memory instance from the memory compiler.
    Type: Grant
    Filed: October 10, 2008
    Date of Patent: February 7, 2012
    Assignee: Synopsys, Inc.
    Inventors: Karen Aleksanyan, Karen Amirkhanyan, Sergey Karapetyan, Alexander Shubat, Samvel Shoukourian, Valery Vardanian, Yervant Zorian
  • Publication number: 20120025273
    Abstract: A standard cell semiconductor integrated circuit device design provides a standard cell semiconductor device that includes first standard cells and user-defined target standard cells which consume more power or include other operational characteristics that differ from the operational characteristics of the first standard cells. The standard cells are routed to ground and power wires using one power rail and the target cells are routed to the ground and power lines using the first power rail and a second power rail to alleviate electromigration in either of the power rails. The two power rails include an upper power rail and a lower power rail. An intermediate conductive layer may be disposed between the upper and lower power rails to provide for signal routing by lateral interconnection between cells.
    Type: Application
    Filed: July 28, 2010
    Publication date: February 2, 2012
    Applicant: TAIWAN SEMICONDUCTOR MANUFACTURING CO., LTD.
    Inventors: Lee-Chung LU, Wen-Hao CHEN, Yuan-Te HOU, Shen-Feng CHEN, Meng-Fu YOU
  • Publication number: 20120023465
    Abstract: Disclosed are methods, systems, and articles of manufacture for implementing electronic designs with simulation awareness. A schematic is identified or created and simulated at the schematic level to characterize the functional behavior of the circuit or to ensure the circuit design meets the required design specifications. Physical data of a component of the design is identified, created, or updated, and the electrical parasitic associated with physical data is characterized. One or more electrical characteristics associated with the parasitic is further characterized and mapped to the simulator to re-simulate the circuit design to analyze the impact of parasitics. Some embodiments re-run the same simulation process incrementally in an interactive manner by accepting incremental design or parameter changes from the design environment.
    Type: Application
    Filed: December 30, 2010
    Publication date: January 26, 2012
    Applicant: CADENCE DESIGN SYSTEMS, INC.
    Inventors: Prakash GOPALAKRISHNAN, Michael MCSHERRY, David WHITE, Ed FISCHER, Bruce YANAGIDA, Keith DENNISON
  • Patent number: 8095898
    Abstract: Disclosed are improved approaches for implementing design entry. An efficient, spread-sheet based representation is provided for both the instances and connections in a design. Visualization techniques provide the user with visual cues, to direct and identify compatible connection points, unconnected instances, and contention situations. Techniques are disclosed to automatically filter the spreadsheet in a variety of ways, to help the user to dynamically hide portions of the design space that are not interesting at a particular time, and thus to improve the efficiency with which they can work.
    Type: Grant
    Filed: December 27, 2007
    Date of Patent: January 10, 2012
    Assignee: Cadence Design Systems, Inc.
    Inventors: Ping-Chih Wu, Lung-Chun Liu, Wei-Jin Dai, Thad Clay McCracken
  • Patent number: 8091056
    Abstract: A method and apparatus is provided for the automatic creation of timing constraints that are based upon input interface timing parameters entered through a graphical user interface that is associated with the one or more input interfaces. Ideal timing constraints are created from the input interface timing parameters for the one or more input interfaces, thereby enabling the analysis of the input interface(s) without requiring explicit constraints to be defined by the designer of the input interface(s). Timing constraints may, therefore, be automatically generated by the designer without the need for the designer to possess any detailed knowledge of the associated constraint language parameters. Once created, the automatically generated timing constraints are graphically displayed to the designer for verification and/or modification. The automated process removes any potential for improperly defining the input constraint language parameters associated with the input interface(s).
    Type: Grant
    Filed: May 29, 2009
    Date of Patent: January 3, 2012
    Assignee: Xilinx, Inc.
    Inventors: Scott J. Campbell, Mona D. Rideout, Paul J. Glairon
  • Publication number: 20110320990
    Abstract: A check for determining the appropriateness of physical design data is provided, where the check includes both a physical component and a logical component. Based upon the logical component of the check, portions of the physical design data that correspond to the logical component are identified and selected. After the portions of the physical design data corresponding to the logical component have been selected, this physical design data can be provided to a physical design analysis tool, along with the physical component of the design check. The physical design analysis tool can then use the physical component of the design check to perform an analysis of the selected physical design data.
    Type: Application
    Filed: January 31, 2011
    Publication date: December 29, 2011
    Inventor: Sridhar G. Srinivasan
  • Publication number: 20110314432
    Abstract: Disclosed is an improved method and system for implementing parallelism for execution of electronic design automation (EDA) tools, such as layout processing tools. Examples of EDA layout processing tools are placement and routing tools. Efficient locking mechanism are described for facilitating parallel processing and to minimize blocking.
    Type: Application
    Filed: August 30, 2011
    Publication date: December 22, 2011
    Applicant: CADENCE DESIGN SYSTEMS, INC.
    Inventors: David Cross, Eric Nequist
  • Patent number: 8082531
    Abstract: A method and an apparatus to design a processing system using a graphical user interface (GUI) are described. The method includes allowing a user to define a transfer function via a GUI. The method may further include submitting the transfer function to a processing device maker associated with a processing device. The processing device maker may generate processing device code without intervention by the user. Furthermore, the processing device may execute the processing device code to perform the transfer function defined.
    Type: Grant
    Filed: August 10, 2005
    Date of Patent: December 20, 2011
    Assignee: Cypress Semiconductor Corporation
    Inventors: Kenneth Ogami, Douglas Anderson, Jon Pearson
  • Patent number: 8082528
    Abstract: Methods are provided for utilizing a process-independent schema library that contains all the devices and all the device parameters in each of various process-specific schema libraries that a user or a group of users is working with. A process-specific schematic based on a first process technology can be converted to a process-specific schematic based on a second process technology by being first converted to a process-independent schematic that is based on the process-independent schema library, which is then converted to the process-specific schematic based on the second process technology. Circuits can be also be stored as a process-independent schematic that is based on the process-independent schema library but designed using a user interface that displays process-specific devices and device parameters.
    Type: Grant
    Filed: June 18, 2009
    Date of Patent: December 20, 2011
    Assignee: Rambus Inc.
    Inventor: Jaeha Kim
  • Publication number: 20110307752
    Abstract: A bridging fault which has occurred between clock signal lines in a semiconductor device can be easily detected. A semiconductor device having a plurality of hold circuits and configured such that a scan test can be performed includes a first and a second clock signal lines supplied with normal operational clock signals having at least either frequencies or phases different from each other during normal operation, and a test clock signal controller which switches, during a test, between a state in which a first test clock signal, which is the same as that supplied to the first clock signal line, is supplied to the second clock signal line, and a state in which a second test clock signal, which is inverted or phase-shifted relative to the first test clock signal, is supplied to the second clock signal line.
    Type: Application
    Filed: August 25, 2011
    Publication date: December 15, 2011
    Applicant: PANASONIC CORPORATION
    Inventors: Naohiro Fujil, Kinya Daio, Shinichi Yoshimura
  • Publication number: 20110307235
    Abstract: Improved equivalent circuits and circuit analysis using the same for a multiplayer capacitor are provided. In one aspect, the equivalent series capacitance C and part of the equivalent series resistance R of a basic equivalent circuit for a multiplayer chip capacitor are replaced with a capacitance CO, and capacitances Cm and C1 and the resistance Rc1 to take into consideration abnormal characteristics in electromagnetic distribution that occur at the corners and edges of the internal electrodes in the multilayer chip capacitor. In one aspect, additional circuit elements, such as resistances Rp1 and Rp2, the capacitance Cp, the inductances Lm and L1, and the resistance RL1, are provided to take into consideration the skin effects of the internal electrodes within the multilayer chip capacitor, electromagnetic proximity effects, losses and parasitic capacitance of the dielectric material, as well as parasitic inductance of the external electrodes.
    Type: Application
    Filed: June 14, 2011
    Publication date: December 15, 2011
    Applicant: TAIYO YUDEN CO., LTD.
    Inventor: Xiangying WU
  • Patent number: 8079013
    Abstract: A computer-implemented method of specifying a circuit design within a high-level modeling system (HLMS) can include, responsive to a scripted user input, instantiating a first and a second block objects within a hardware description interface (HDI) that is communicatively linked with the HLMS and, responsive to instantiating the first and second block objects, creating and displaying, within the HLMS, first and second modeling blocks representing the first and second xBlock objects respectively. Responsive to instantiating, within the HDI, a signal object bound to an output port of the first block object and an input port of the second block object, a modeling line can be created and displayed within the HLMS visually linking an output of the first modeling block with an input of the second modeling block. The first modeling block, second modeling block, and modeling line can be stored as a description of the circuit design.
    Type: Grant
    Filed: December 19, 2008
    Date of Patent: December 13, 2011
    Assignee: Xilinx, Inc.
    Inventors: Haibing Ma, Jingzhao Ou
  • Patent number: 8079002
    Abstract: An embodiment of the invention involves: providing a database that includes layout information representing a layout within an integrated circuit of an electrical circuit; identifying from the information in the database each conductive path of a selected type in the electrical circuit; extracting layout information from the database for each conductive path of the selected type; and calculating an electrical parameter for each conductive path of the selected type, as a function of the layout information obtained for that conductive path during the extracting. In addition, in a different configuration of the embodiment, a report can be generated containing information based on the electrical parameter calculated during the calculating for at least one of the conductive paths of the selected type.
    Type: Grant
    Filed: December 24, 2008
    Date of Patent: December 13, 2011
    Assignee: Xilinx, Inc.
    Inventors: Kuok-Khian Lo, Mark B. Roberts, Mohammed Fakhruddin, James Karp, Richard P. Burnley, Min-Hsing Chen
  • Patent number: 8079000
    Abstract: An equivalency testing system, for formally comparing an RTLM and HLM, is presented. RTLM and HLM are first converted into DFGs RTLMDFG and HLMDFG. RTLMDFG and HLMDFG are then put into timestep form and are called RTLMts and HLMts. A test bench CSts is selected that couples RTLMts and HLMts. The combination of RTLMts[t], HLMts[t] and CSts[t] can have parts designated as datapath. Parts designated as datapath can be subject to a form of equivalence checking that seeks to prove equivalence by a form of inductive theorem proving that propagates symbolic values indicative of whether a node carries the same data content as another node. The theorem proving starts from initial conditions for HLMts[t] determined by partial execution of the HLM. Propagation to a combinational function output can be determined from equivalence relationships between it and another combinational function. Propagation through a multiplexer can produce a conditional symbolic value.
    Type: Grant
    Filed: August 8, 2008
    Date of Patent: December 13, 2011
    Assignee: Synopsys, Inc.
    Inventors: Alfred Koelbl, Carl Preston Pixley
  • Patent number: 8074191
    Abstract: A method of designing an integrated circuit for use in an application having standards having a plurality of primitives, wherein each of the primitives has a corresponding response. The method includes generating a macros description of each of the primitives and the response corresponding to each of the primitives, generating a template relating to the response corresponding to each of the primitives, receiving information specifying a behavior of the integrated circuit in response to the primitives based on the template, and generating a hardware description language representation for the integrated circuit based on the macros description and the information.
    Type: Grant
    Filed: November 10, 2008
    Date of Patent: December 6, 2011
    Assignee: University of Pittsburgh—Of the Commonwealth System of Higher Education
    Inventors: Marlin H. Mickle, Swapna Dontharaju, Raymond R. Hoare, James T. Cain, Alex Jones
  • Patent number: 8073924
    Abstract: Electronic design automation (EDA) libraries are delivered using a geographically distributed private cloud including EDA design centers and EDA library stores. EDA projects associated with an EDA library are determined by matching information describing the EDA library with information describing the projects. A set of design centers hosting the projects is determined. A data delivery model is determined for transmitting the EDA library to the design centers. The EDA library is scheduled for delivery to the design centers based on a deadline associated with a project stage that requires the EDA library. Network links with specialized hardware for transmitting data are determined in the private cloud by measuring their deterioration in performance on increase of data transmission load. These links are used for delivering EDA libraries expected to be used urgently for a stage of an EDA project.
    Type: Grant
    Filed: February 2, 2011
    Date of Patent: December 6, 2011
    Assignee: Synopsys, Inc.
    Inventors: Sriram Sitaraman, Bradley David Francis Jones
  • Publication number: 20110276929
    Abstract: A designing apparatus is used with a simulator simulating a behavior description describing behavior of a semiconductor integrated circuit, and a high-level synthesis apparatus allocating a variable described in the behavior description to a register and generating a register transfer level description based on the allocated variable. The apparatus includes an input module, a calculation module, and an estimation module. The input module inputs a simulation result of the simulator and a binding result comprising a variable name of the allocated variable to be stored into the register. The calculation module calculates a rate of change of the allocated variable to be stored into the register in one clock cycle based on the simulation result and the binding result. The estimation module estimates power consumption of the semiconductor integrated circuit corresponding to the behavior description based on the rate.
    Type: Application
    Filed: December 30, 2010
    Publication date: November 10, 2011
    Applicant: Kabushiki Kaisha Toshiba
    Inventor: Hiroshi Imai
  • Publication number: 20110271241
    Abstract: A method includes jointly implementing a number of Intellectual Property (IP) sub-systems in a virtual design associated with one or more System-on-a-Chip(s) (SoC(s)) utilizing a design platform. Each sub-system of the number of IP sub-systems is associated with an IP configured to be a deliverable to the one or more SoC(s). The method also includes maintaining each sub-system of the number of IP sub-systems as an independent entity in the virtual design through an appropriate handling of a design closure and a timing closure. IPs associated with two or more IP sub-systems of the virtual design are related or unrelated to one another.
    Type: Application
    Filed: April 25, 2011
    Publication date: November 3, 2011
    Inventors: Hari Krishnamoorthy, Sreeram Chandrasekar
  • Patent number: 8046730
    Abstract: Systems and methods to enable a user to edit subMaster content of selected instances of an electronic layout design, including editing the contents of selected instances of an existing subMaster of an EDA design, generating a new subMaster to incorporate the modified contents of the selected instances, and binding the new subMaster to the selected instances without losing the design hierarchy of the layout design.
    Type: Grant
    Filed: August 11, 2008
    Date of Patent: October 25, 2011
    Assignee: Cadence Design Systems, Inc.
    Inventors: Kenneth Ferguson, Randy Bishop, Arnold Ginetti, Gilles Lamant
  • Patent number: 8042082
    Abstract: The invention relates to multi-planar memory components in a three-dimensional integrated circuit system configuration. A multi-planar memory system consisting of a plurality of memory circuit planes in a three-dimensional system on a chip (3D SoC) comprised of a plurality of memory layers, at least one logic circuit layer and an interface configured to provide access to memory and logic circuit layers.
    Type: Grant
    Filed: September 12, 2008
    Date of Patent: October 18, 2011
    Inventor: Neal Solomon
  • Patent number: 8037432
    Abstract: A method and apparatus are provided for receiving a list of design memories, wherein each type of design memory in the list has a name and at least one instance. A pre-placement model is associated with each named memory type in the list. The design memories in the list are mapped to an integrated circuit layout pattern, wherein at least one memory type comprises first and second instances that are mapped differently from one another. After mapping, at least one of the first and second instances is renamed to have a different name than the other. A post-placement model is then associated with each named memory type in the list, including a separate model for each renamed design memory.
    Type: Grant
    Filed: August 5, 2008
    Date of Patent: October 11, 2011
    Assignee: LSI Corporation
    Inventors: Alexandre Andreev, Andrey Nikitin, Ilya V. Neznanov, Ranko Scepanovic
  • Publication number: 20110246954
    Abstract: An apparatus for analyzing a fault behavior, includes a satisfiability modulo theories (SMT) conversion block for performing SMT conversion with respect to a protocol state machine diagram and a sequence diagram of a software design model. Further, the apparatus for analyzing the fault behavior includes an SMT processing block for performing a SMT processing using respective logic formulas corresponding to the protocol state machine diagram and the sequence diagram and outputted from the SMT conversion block, and determining whether the result of the SMT processing is satisfied to output an occurrable behavior scenario when the result of the SMT processing is satisfied.
    Type: Application
    Filed: October 26, 2010
    Publication date: October 6, 2011
    Applicant: Electronics and Telecommunications Research Institute
    Inventors: Sachoun PARK, Jung Hee LEE
  • Patent number: 8032338
    Abstract: A computer-implemented method for the design of a power supply is disclosed. Multiple lists of power supply design variables are provided. The method includes simulating a first power supply design in response to power supply design variables selected from these multiple lists of variables. The method then calculates a score of the first power supply design and determines whether the score is better than the score of any power supply design included in a set of power supply designs. If so, the method replaces a power supply design having a worst score from the set of power supply designs with the first power supply design.
    Type: Grant
    Filed: June 13, 2008
    Date of Patent: October 4, 2011
    Assignee: Power Integrations, Inc.
    Inventors: Arkady Akselrod, Sameer Kelkar, Timothy E. W. Starr
  • Patent number: 8032857
    Abstract: Methods and systems for generating and verifying circuit layouts from computer-aided design tools for vertically integrated, three-dimensional integrated circuits are disclosed. In one instance, a 3-D technology file of these teachings is obtained by providing an identifier for two or more circuit levels, providing for each one of the two or more circuit levels an identifier for a 2-D technology file corresponding to each of the one or more circuit levels and providing a file structure including the two or more circuit levels and each identifier, corresponding to each one of the one or more circuit levels, for the 2-D technology file corresponding to each one of the two or more circuit levels. Other embodiments are disclosed.
    Type: Grant
    Filed: September 18, 2008
    Date of Patent: October 4, 2011
    Assignee: R3 Logic, Inc.
    Inventor: Lisa G. McIlrath
  • Patent number: 8028273
    Abstract: Methods, data processing systems, and program products supporting the insertion of clone latches within a digital design are disclosed. According to one method, a parent latch within the digital design is specified in an HDL statement in one of the HDL files representing a digital design. In addition, a clone latch is specified within the digital design utilizing an HDL clone latch declaration. An HDL attribute-value pair is associated with the HDL clone latch declaration to indicate a relationship between the clone latch and the parent latch according to which the clone latch is automatically set to a same value as the parent latch when the parent latch is set. Thereafter, when a configuration compiler receives one or more design intermediate files containing the clone latch declaration, the configuration compiler creates at least one data structure in a configuration database representing the clone latch and the relationship between the clone latch and the parent latch.
    Type: Grant
    Filed: April 18, 2008
    Date of Patent: September 27, 2011
    Assignee: International Business Machines Corporation
    Inventors: Wolfgang Roesner, Derek Edward Williams
  • Patent number: 8024681
    Abstract: A Hardware Description Language (HDL) processing method is implemented in a computer and processes a HDL file which is written in HDL having a hierarchical structure including three or more hierarchical levels in a Computer-Aided Design (CAD) which supports hardware design. The HDL processing method analyzes the hierarchical structure of the HDL and obtaining an analysis result, and processes the HDL one at a time for each hierarchical level based on the analysis result or, process the HDL one at a time by a parallel distributed processing for each hierarchical level based on the analysis result.
    Type: Grant
    Filed: December 12, 2008
    Date of Patent: September 20, 2011
    Assignee: Fujitsu Limited
    Inventor: Eiji Furukawa
  • Patent number: 8024682
    Abstract: For application to analog, mixed-signal, and custom digital circuits, a system and method to do: global statistical optimization (GSO), global statistical characterization (GSC), global statistical design (GSD), and block-specific design. GSO can perform global yield optimization on hundreds of variables, with no simplifying assumptions. GSC can capture and display mappings from design variables to performance, across the whole design space. GSC can handle hundreds of design variables in a reasonable time frame, e.g., in less than a day, for a reasonable number of simulations, e.g., less than 100,000. GSC can capture design variable interactions and other possible nonlinearities, explicitly capture uncertainties, and intuitively display them. GSD can support the user's exploration of design-to-performance mappings with fast feedback, thoroughly capturing design variable interactions in the whole space, and allow for more efficiently created, more optimal designs.
    Type: Grant
    Filed: March 3, 2009
    Date of Patent: September 20, 2011
    Assignee: Solido Design Automation Inc.
    Inventors: Trent Lorne McConaghy, Pat Drennan, Joel Cooper, Jeffrey Dyck, David Callele, Shawn Rusaw, Samer Sallam, Jiangdon Ge, Anthony Arkles, Kristopher Breen, Sean Cocks
  • Patent number: 8020122
    Abstract: Operating splitting methods for splitting a circuit into two sub circuits and analyzing the two sub circuits with improved computation efficiency and processing speed.
    Type: Grant
    Filed: June 7, 2005
    Date of Patent: September 13, 2011
    Assignee: The Regents of the University of California
    Inventors: Chung-Kuan Cheng, Zhengyong Zhu, Rui Shi
  • Publication number: 20110219343
    Abstract: System and method for developing an ASIC. A software program may be stored which includes program instructions which implement a function. The software program may be executed on a processing system at a desired system speed and may be validated based on the execution. A first hardware description of at least a portion of the processing system may be stored and may specify implementation of at least a portion of the processing system. A second hardware description may be generated that corresponds to a first portion of the first hardware description. The second hardware description may specify a dedicated hardware implementation of a first portion of the software program executing on the processing system. Generation of the second hardware description may be performed one or more times to fully specify the ASIC. An ASIC may be created which implements the function of the software program.
    Type: Application
    Filed: May 18, 2011
    Publication date: September 8, 2011
    Inventor: Tommy K. Eng
  • Patent number: 8015519
    Abstract: In a verification supporting apparatus, a recording unit records a DIRW matrix in which a state transition possibly occurring in a register of a circuit to be verified and information concerning validity of a path corresponding to the state transition are set and an acquiring unit acquires a control data flow graph that includes a control flow graph having a data flow graph written therein. When a register is designated for verification, a data flow graph having described therein the designated register is extracted from the control data flow graph. From the data flow graph extracted, a path indicating the flow of data concerning the register is extracted. The state transition of the path extracted is identified and if the state transition is determined to be is set in the DIRW matrix, information concerning the validity set in the DIRW matrix and the path are correlated, and output.
    Type: Grant
    Filed: December 15, 2008
    Date of Patent: September 6, 2011
    Assignee: Fujitsu Limited
    Inventors: Akio Matsuda, Ryosuke Oishi, Koichiro Takayama, Tsuneo Nakata, Rafael Kazumiti Morizawa
  • Patent number: 8015518
    Abstract: A design structure for electrostatic discharge protection comprises a first data representing a first electrostatic discharge (ESD) protection circuit and a second data representing a second ESD protection circuit. A parallel connection of two ESD protection units, each providing a discharge path for electrical charges of opposite types, provides ESD protection circuit for positive and negative voltage swings in the circuit. Each of the multiple emitter-base regions are cascaded such that the base of one emitter-base region is directly wired to the emitter of an adjacent emitter-base region. The first data represents a first ESD protection unit providing protection on one type of voltage swing, and the second data represents a second ESD protection unit providing protection on the other type of voltage swing.
    Type: Grant
    Filed: April 23, 2008
    Date of Patent: September 6, 2011
    Assignee: International Business Machines Corporation
    Inventor: Steven H. Voldman
  • Publication number: 20110214095
    Abstract: Systems and methods for identifying a Boolean function as either a threshold function or a non-threshold function are disclosed. In one embodiment, in order to identify a Boolean function as either a threshold function or a non-threshold function, a determination is first made as to whether the Boolean function satisfies one or more predefined conditions for being a threshold function, where the one or more predefined conditions include a condition that both a positive cofactor and a negative cofactor of the Boolean function are threshold functions. If the one or more predefined conditions are satisfied, a determination is made as to whether weights for the positive and negative cofactors are equal. If the weights for the cofactors are equal, then the Boolean function is determined to be a threshold function. Further, in one embodiment, this threshold function identification process is utilized in a threshold circuit synthesis process.
    Type: Application
    Filed: April 20, 2011
    Publication date: September 1, 2011
    Applicant: Arizona Board of Regents for and on behalf of Arizona State University
    Inventors: Tejaswi Gowda, Sarma Vrudhula
  • Publication number: 20110209108
    Abstract: The LSI design apparatus 170 adds diagnostic circuitry for micro diagnosis to a behavior level description. Based on behavior level design data 192 for a normal system of a LSI, high level synthesis 182 generates RTL design data 194 and register information 196. Based on the register information 196, a unique address used by a micro diagnosis program is allocated to each register. Circuit components within the normal system are grouped together. Based on the result of the address allocation and the result of the grouping 200, a behavior description for diagnostic circuits constituting a diagnosis system for the LSI is generated and added to the behavior level design data 192 for the normal system, resulting in behavior level design data 204 in which the normal and diagnosis systems are integrated together.
    Type: Application
    Filed: November 6, 2008
    Publication date: August 25, 2011
    Inventor: Shuntaro Seno
  • Patent number: 8001510
    Abstract: Disclosure is made of approaches for mapping an electronic design specification to an implementation. In one approach, quality metrics are associated with functional units of the design, and the functional units are mapped to respective initial implementations. For each functional unit a respective quality indicator is determined based on the mapping. The quality indicator specifies a degree to which the functional unit achieves the associated quality metric. At least one of the functional units is selected for remapping based on the quality indicator of that functional unit or the quality indicator of another functional unit. An alternative implementation to the initial implementation is selected for each selected functional unit to improve the quality indicator. The selected functional unit is remapped to the selected alternative implementation.
    Type: Grant
    Filed: September 5, 2008
    Date of Patent: August 16, 2011
    Assignee: Xilinx, Inc.
    Inventors: Ian D. Miller, Jorn W. Janneck, David B. Parlour, Paul R. Schumacher
  • Patent number: 8001501
    Abstract: A method for designing a circuit. The method includes (i) providing a netlist of a design and (ii) dividing the netlist into N user logics, N being a positive integer. After said dividing the netlist is performed, the N user logics in N macro test wrappers are instantiated resulting in N instantiated logics. After said instantiating the N user logics is performed, the N instantiated logics are processed. After said processing is performed, a result of said processing is back-annotated to the netlist.
    Type: Grant
    Filed: May 19, 2008
    Date of Patent: August 16, 2011
    Assignee: International Business Machines Corporation
    Inventors: Rainer Dorsch, Marta Junginger, Philipp Salz, Andreas Wagner, Gerhard Zilles
  • Patent number: 7992110
    Abstract: Structured ASIC circuitry that is intended to be functionally equivalent to a programmed block of FPGA circuitry (e.g., a programmed FPGA LUT) is verified for such functional equivalence by using the specification (logical or physical) for the structured ASIC circuitry as a starting point for an FPGA design project. If the design project results in the same FPGA circuitry as it was intended that the structured ASIC circuitry would be functionally equivalent to, the structured ASIC circuitry has been verified and can be added to one or more libraries of structured ASIC modules that are available for use in providing structured ASIC products that are functionally equivalent to programmed FPGA products.
    Type: Grant
    Filed: May 12, 2008
    Date of Patent: August 2, 2011
    Assignee: Altera Corporation
    Inventors: Jinyong Yuan, Ji Park
  • Patent number: 7992124
    Abstract: A system for optimizing analog circuit designs includes an input device, a data processing device, and a data storage device. The data processing device includes a selecting module, a calculation module, and a determining module. The selecting module is for receiving input from the input device and selecting electronic components composing the circuit from the data storage device. The calculation module is for calculating average values and standard deviations of each electronic component, generating normal distribution samples of each electronic component, and calculating output voltages of the circuit. The determining module is for determining whether the circuit meets a process capability standard.
    Type: Grant
    Filed: October 23, 2008
    Date of Patent: August 2, 2011
    Assignee: Hon Hai Precision Industry Co., Ltd.
    Inventors: Ying-Tso Lai, Tsung-Sheng Huang, Shou-Kuo Hsu
  • Patent number: 7992111
    Abstract: Approaches for processing an electronic circuit design. In one embodiment, the graphical model of an outer subsystem block and an inner subsystem block are translated into a high-level language (HLL) program. The HLL program includes a specification of a first function corresponding to the outer subsystem block and within the specification of the first function a specification of a second function corresponding to the inner subsystem block. The specification of the first function references a parameter of the outer subsystem block and specifies invocation of the second function. The specification of the second function specifies invocation of a third function corresponding to a leaf block in the inner subsystem block. The specification of the first function references a variable corresponding to the parameter, and that variable is referenced by the second or third functions. Execution of the HLL program instantiates a model of the design.
    Type: Grant
    Filed: May 18, 2009
    Date of Patent: August 2, 2011
    Assignee: Xilinx, Inc.
    Inventors: Haibing Ma, Jingzhao Ou, Chi Bun Chan
  • Patent number: 7984413
    Abstract: A wiring design processing method is for designing an automatic wiring processing process as an execution sequence of various processing in automatic wiring processing for printed circuit boards by using a computer. The wiring design processing method includes storing, in a storage unit, printed circuit board information including various physical information regarding the printed circuit boards, for each of the printed circuit boards; creating an automatic wiring processing process automatically according to a result of analyzing setting, wiring progress, and wiring situation at present regarding each of the printed circuit boards, after reading the printed circuit board information, stored in the storage unit at the storing, for each of the printed circuit boards; and executing the automatic wiring processing according to the automatic wiring processing process created at the creating, for each of the printed circuit boards.
    Type: Grant
    Filed: August 5, 2008
    Date of Patent: July 19, 2011
    Assignee: Fujitsu Limited
    Inventors: Takahiko Orita, Eiichi Konno
  • Patent number: 7984400
    Abstract: Various techniques involving snapshots of the contents of registers are described and claimed. In some embodiments, a method includes receiving descriptions of design circuitry including design registers to receive register input signals. The method also includes generating additional descriptions through at least one computer program including descriptions of additional registers (snapshot registers) to receive snapshots of the register input signals, wherein the additional registers provide register initial condition signals for use in a simulation of at least a portion of the design circuitry. Other embodiments are described.
    Type: Grant
    Filed: May 8, 2008
    Date of Patent: July 19, 2011
    Assignee: Synopsys, Inc.
    Inventors: Richard C. Maixner, Mario Larouche, Chun Kit Ng, Kenneth S. McElvain
  • Publication number: 20110173580
    Abstract: A precharacterized cell library for EDA tools includes driver model data includes output current signals indexed by output voltages. The driver model can then generate a model output by interpolating the output current signals using the output voltage to generate an output current. The output current can then be used to generate an updated output voltage across a predetermined time increment. The output current signals can then be interpolated using the updated output voltage to generate a new output current, when can be used to update the output voltage once again across the next time increment. By repeating this process across a time frame for the model output signal, a model output current and output voltage signals can be generated that match the actual output current and voltage signals from a driver in a multi-driver system.
    Type: Application
    Filed: March 21, 2011
    Publication date: July 14, 2011
    Applicant: Synopsys, Inc.
    Inventor: Harold J. Levy