Mapping Circuit Design To Programmable Logic Devices (plds) Patents (Class 716/116)
  • Patent number: 8732646
    Abstract: In accordance with one aspect of the invention, a hole is formed within an LE array of a PLD by interrupting the LE array base signal routing architecture such that a hole is left for IP function block to be incorporated. An interface region is provided for interfacing the remaining LE array base signal routing architecture to the IP function block.
    Type: Grant
    Filed: March 20, 2013
    Date of Patent: May 20, 2014
    Assignee: Altera Corporation
    Inventors: Andy L. Lee, Cameron R. McClintock, Brian D. Johnson, Richard G. Cliff, Srinivas T. Reddy, Christopher F. Lane, Paul Leventis, Vaughn Betz, David Lewis
  • Patent number: 8732650
    Abstract: A method for emulating a circuit design includes receiving, at an emulation interface, signal values associated with probed signals from a verification module of a custom prototype board which can be described by at least one board description file and can comprise at least one field programmable gate array for emulating the circuit design. The method can also include processing, the probed signal values associated with a portion of the circuit design being emulated, the emulation interface being capable of being configured to provide timing and control information to at least the verification module, and can comprise a controller and a memory device, with the controller being capable of being configured to receive the probed signal values. The method can further include storing the processed information and transmitting it to the host workstation.
    Type: Grant
    Filed: August 29, 2012
    Date of Patent: May 20, 2014
    Assignees: Synopsys Taiwan Co., Ltd., Synopsys, Inc.
    Inventors: Yingtsai Chang, Sweyyan Shei, Hung Chun Chiu, Hwa Mao, Ming Yang Wang, Yuchin Hsu
  • Patent number: 8732644
    Abstract: The present invention systems and methods enable configuration of functional components in integrated circuits. A present invention system and method utilizes micro electro-mechanical switches included in pathways of an integrated circuit to flexibly change the operational characteristics of functional components in an integrated circuit die based upon a variety of factors including power conservation, manufacturing defects, compatibility characteristics, performance requirements, and system health (e.g., the number of components operating properly). The micro electro-mechanical switches are selectively opened and closed to permit and prevent electrical current flow to and from functional components. Opening the micro electro-mechanical switches also enables power conservation by facilitating isolation of a component and minimization of impacts associated with leakage currents.
    Type: Grant
    Filed: September 15, 2004
    Date of Patent: May 20, 2014
    Assignee: Nvidia Corporation
    Inventor: Michael B. Diamond
  • Patent number: 8732635
    Abstract: A programmable logic device (PLD) includes a non-volatile memory, a configuration memory, and a control circuitry. The control circuitry couples to the non-volatile memory and to the configuration memory. A set of voltages are derived from the outputs of the control circuitry, and are applied to circuitry within the PLD.
    Type: Grant
    Filed: July 1, 2008
    Date of Patent: May 20, 2014
    Assignee: Altera Corporation
    Inventors: David Lewis, Christopher F. Lane, Sarathy Sribhashyam, Srinivas Perisetty, Tim Vanderhoek, Vaughn Betz, Thomas Yau-Tsun Wong, Andy L. Lee
  • Patent number: 8726213
    Abstract: Some embodiments of the invention provide a configurable integrated circuit (“IC”). The configurable IC includes a set of multiplexers that each has a set of input terminals, a set of output terminals, and a set of select terminals. The set of multiplexers includes a group of multiplexers, where at least one input terminal of each multiplexer in the group is a permanently inverting input terminal. During at least a set of cycles during the operation of the configurable IC, several multiplexers in the group of multiplexers are used to implement a particular function.
    Type: Grant
    Filed: March 30, 2009
    Date of Patent: May 13, 2014
    Assignee: Tabula, Inc.
    Inventors: Andrew Caldwell, Herman Schmit, Steven Teig
  • Patent number: 8719753
    Abstract: A programmable device system includes one or more network-on-chip (NoC) die layers vertically connected to one or more programmable chip dice layers. The NoC die layer includes interconnects, a bus or non-blocking switches, and optionally memory blocks and direct memory access engines. The NoC die layer improves on-chip communications by providing fast and direct interconnection circuitry between various parts of the programmable chip die.
    Type: Grant
    Filed: February 10, 2010
    Date of Patent: May 6, 2014
    Assignee: Altera Corporation
    Inventors: Francis Man-Chit Chow, Rakesh H. Patel, Erhard Joachim Pistorius
  • Patent number: 8719750
    Abstract: Approaches for placing and routing a circuit design on a programmable integrated circuit (IC) are disclosed. One partial reconfiguration (PR) resource portion of the circuit design is selected from a plurality of PR resource portions of the design. Uncontained resources in the PR resource portion is identified. The PR resource portion, less the uncontained resources, is placed in an assigned region, and the uncontained resources is placed on the programmable IC unconstrained by the assigned region of the PR resource portion. The design is routed from the placed PR resource portion to the placed uncontained resources, and the process is repeated for each unplaced PR resource portion. After placing the plurality of PR resource portions and routing to uncontained resources in the plurality of PR resource portions, unplaced portions of the circuit design are placed and routed.
    Type: Grant
    Filed: November 12, 2012
    Date of Patent: May 6, 2014
    Assignee: Xilinx, Inc.
    Inventor: Robert M. Balzli, Jr.
  • Patent number: 8713496
    Abstract: A method of configuring a programmable integrated circuit device with a user logic design includes accepting a first user input defining the user logic design, accepting a second user input defining latency characteristics of the user logic design, determining a configuration of the programmable integrated circuit device having the user logic design, and retiming the configuration based on the second user input.
    Type: Grant
    Filed: January 4, 2013
    Date of Patent: April 29, 2014
    Assignee: Altera Corporation
    Inventors: Valavan Manohararajah, David Galloway, David Lewis
  • Patent number: 8707235
    Abstract: An apparatus having two or more parallel carry chain structures, each of the carry chain structures comprising a series of logical structures, where at least one of the logical structures within each of the carry chain structures has an associated input node, output node and carry node. The input node corresponds to a function input term, the output node corresponds to an output term of the function and the carry node corresponds to a carry value to a following logical structure in the series of logical structures.
    Type: Grant
    Filed: November 21, 2011
    Date of Patent: April 22, 2014
    Assignee: Synopsis, Inc.
    Inventor: Ken S. McElvain
  • Publication number: 20140109029
    Abstract: A system for prototyping an integrated circuit (IC) that has a mixed signal intellectual property (IP) core includes implementing the IP core using discrete programmable digital ICs and discrete analog ICs by partitioning the IP core into a digital IP portion and an analog IP portion.
    Type: Application
    Filed: April 19, 2013
    Publication date: April 17, 2014
    Inventors: Haifeng Bai, Yin Guo, Xuewen He, Kun Wu, Lei Zhang, Shayan Zhang
  • Patent number: 8701077
    Abstract: Aspects of the present disclosure are directed toward methods and systems which generate a plurality of Read-Only Memory (ROM) codes. In response to generating the ROM codes, an image is generated for each of the plurality of ROM codes. The images for each of the plurality of ROM codes are mapped on a single reticle, and a wafer is provided, which includes a plurality of individual devices. The reticle is utilized, which includes an image for each of the plurality of ROM codes, to print a respective one of the images onto a respective one of the plurality of individual devices.
    Type: Grant
    Filed: December 10, 2012
    Date of Patent: April 15, 2014
    Assignee: NXP B.V.
    Inventors: Stefan Lemsitzer, Heimo Scheucher, Claus Grzyb
  • Patent number: 8694948
    Abstract: A reconfigurable circuit generation device comprises: a netlist generation unit that generates as a shared netlist a netlist that can be shared among a plurality of netlists having a common portion, and a resource reduction unit that reduces resources of the reconfigurable circuit where the plurality of netlists are to be implemented, in a range in which the shared netlist can be implemented.
    Type: Grant
    Filed: November 27, 2009
    Date of Patent: April 8, 2014
    Assignee: NEC Corporation
    Inventor: Shogo Nakaya
  • Patent number: 8689156
    Abstract: A method of generating a hardware design for a pipelined parallel stream processor. The method includes defining a processing operation designating processes to be implemented in hardware as part of said pipelined parallel stream processor and defining a graph representing said processing operation as a parallel structure in the time domain as a function of clock cycles. The method also includes defining the at least one data path and associated latencies of said graph as a set of algebraic linear inequalities, collectively solving the set of linear inequalities for the entire graph, optimizing the at least one data path in the graph using the solved linear inequalities to produce an optimized graph, and utilizing the optimized graph to define an optimized hardware design for implementation in hardware as the pipelined parallel stream processor.
    Type: Grant
    Filed: February 27, 2013
    Date of Patent: April 1, 2014
    Assignee: Maxeler Technologies Ltd.
    Inventors: James Huggett, Jacob Alexis Bower, Oliver Pell
  • Patent number: 8683405
    Abstract: Apparatuses and processes for distributing signals in an integrated circuit are disclosed. An embodiment to use a custom layer together with a base layer on an integrated circuit for testing the integrated circuit includes having a structured network on the base layer. The custom layer connects the network to logic elements on the integrated circuit. The network may be evenly distributed across the base layer of the integrated circuit. Even distribution of the network may reduce skew of the test signals. Buffers are also placed along the structured network. The buffers may be placed to ensure a deterministic test signals distribution. Unused buffers in the base layer may be tied off to reduce current leakage.
    Type: Grant
    Filed: March 13, 2012
    Date of Patent: March 25, 2014
    Assignee: Altera Corporation
    Inventors: Keong Hong Oh, Yee Liang Tan, Siang Poh Lob, Chooi Pei Lim
  • Patent number: 8683410
    Abstract: Some embodiments provide a method of designing a configurable integrated circuit (“IC”) with several configurable circuits. The method receives a design having several sets of operations for the configurable circuits to perform in different operational cycles. For at least a first set of operations that has a start operation and an end operation, the method assigns a particular operation in the first set to a first operational cycle based at least partially on the position of the particular operation with respect to the start and end operations.
    Type: Grant
    Filed: December 10, 2010
    Date of Patent: March 25, 2014
    Assignee: Tabula, Inc.
    Inventors: Andre Rohe, Steven Teig
  • Patent number: 8683414
    Abstract: A semiconductor integrated circuit device having a control signal system for avoiding failure to check an indefinite signal propagation prevention circuit, for facilitating a check included in an automated tool, and for facilitating a power shutdown control inside a chip. In the semiconductor integrated circuit device, power shutdown priorities are provided by independent power domains (Area A to Area I). A method for preventing a power domain having a lower priority from being turned OFF when a circuit having a high priority is turned ON is also provided.
    Type: Grant
    Filed: April 18, 2013
    Date of Patent: March 25, 2014
    Assignee: Renesas Electronics Corporation
    Inventors: Yusuke Kanno, Hiroyuki Mizuno, Yoshihiko Yasu, Kenji Hirose, Takahiro Irita
  • Patent number: 8677298
    Abstract: A method of configuring an integrated circuit device with a user logic design includes analyzing the user logic design to identify critical and near-critical cyclic logic paths within the user logic design, applying timing optimizations to the critical and near-critical cyclic logic paths, and retiming logic paths other than the critical and near-critical cyclic logic paths.
    Type: Grant
    Filed: January 4, 2013
    Date of Patent: March 18, 2014
    Assignee: Altera Corporation
    Inventors: Valavan Manohararajah, David Lewis, David Galloway, Ryan Fung
  • Patent number: 8671377
    Abstract: A method for designing a system on a target device includes assigning resources on the target device to static logic modules and partial reconfigurable (PR) modules in the system. The instances of one of the PR modules are placed and routed in parallel utilizing resources from those that are assigned. Other embodiments are also disclosed.
    Type: Grant
    Filed: March 3, 2011
    Date of Patent: March 11, 2014
    Assignee: Altera Corporation
    Inventors: David Samuel Goldman, Mark Bourgeault, Vaughn Betz, Alan Louis Herrmann
  • Patent number: 8661401
    Abstract: A design tool provides interactive graphical pin assignment. In one embodiment, the design tool identifies layout restrictions of a configurable processing device that includes a plurality of pins. The design tool further provides an interactive visual representation of a pin assignment that accommodates the layout restrictions and a user input.
    Type: Grant
    Filed: September 26, 2011
    Date of Patent: February 25, 2014
    Assignee: Cypress Semiconductor Corporation
    Inventors: Kenneth Y. Ogami, Doug Anderson
  • Patent number: 8656325
    Abstract: Disclosed is an integrated circuit design method that determines maximum direct currents for metal components and uses them as design constraints in the design flow in order to avoid/minimize electromigration failures. Short and long metal components are treated differently for purposes of establishing the design constraints. For a short metal component, the maximum direct current as a function of a given temperature for a given expected lifetime of the integrated circuit is determined, another maximum direct current is determined based on the Blech length, and the higher of these two is selected and used as the design constraint for that short metal component. For a long metal component, only the maximum direct current as a function of the given temperature for the given expected lifetime is determined and used as the design constraint. Also disclosed herein are associated system and program storage device embodiments for designing an integrated circuit.
    Type: Grant
    Filed: January 12, 2012
    Date of Patent: February 18, 2014
    Assignee: International Business Machines Corporation
    Inventors: John E. Barwin, Amol A. Joshi, Baozhen Li, Michael R. Ouellette
  • Patent number: 8656332
    Abstract: A method, computer program product, and data processing system for efficiently performing automated placement of timing-critical unit-level cells in a hierarchical integrated circuit design is disclosed. In preparation for global optimization the entire unit at the cell level, macro-level cells are assigned a “placement force” that serves to limit the movement of the macro-level cells from their current position. Movement boundaries for each macro element are also defined, so as to keep the components in a given macro element in relative proximity to each other. Optimization/placement of the unit design is then performed, via a force-directed layout algorithm, on a “flattened” model of the design while respecting the movement boundaries. Following this “flattened” optimization, the placed “unit-level” cells are modeled as blockages and the macro elements are optimized individually, while respecting the location(s) of the blockages.
    Type: Grant
    Filed: February 26, 2009
    Date of Patent: February 18, 2014
    Assignee: International Business Machines Corporation
    Inventors: Bruce M. Fleischer, David J. Geiger, Hung C. Ngo, Ruchir Puri, Haoxing Ren
  • Patent number: 8649609
    Abstract: An apparatus is provided that includes a plurality of modules, a plurality of memory banks, and a multiplexor. Each module includes at least one agent that interfaces between a module and a memory bank. Each memory bank includes an arbiter that interfaces between the at least one agent of each module and the memory bank. The multiplexor is configured to assign data paths between the at least one agent of each module and a corresponding arbiter of each memory bank based on the assigned data path. The at least one agent of each module is configured to read data from the corresponding arbiter of the memory bank or write modified data to the corresponding arbiter of the memory bank.
    Type: Grant
    Filed: March 24, 2011
    Date of Patent: February 11, 2014
    Assignee: The United States of America as Represented by the Adminstrator of the National Aeronautics and Space Administration
    Inventors: Arin C Morfopoulos, Thang D Pham
  • Patent number: 8650525
    Abstract: Systems and methods for increasing speed and reducing processing power of a compile process of programmable logic of an integrated circuit (IC) are provided. For example, in one embodiment, a method includes obtaining a high level program, comprising computer-readable instructions for implementation on programmable logic of an integrated circuit (IC); translating the high level program into low level code representative of functional components needed to execute functionalities of the high level program; generating a host program comprising computer-readable instructions for implementing the low level code based upon the high level program; obtaining modifications to the high level program; determining whether the modifications can be implemented by a new host program utilizing the low level code; and generating the new host program to implement the modifications, when the modifications can be implemented by the new host program utilizing the low level code.
    Type: Grant
    Filed: June 22, 2012
    Date of Patent: February 11, 2014
    Assignee: Altera Corporation
    Inventors: Doris Tzu Lang Chen, Deshanand Singh
  • Patent number: 8640071
    Abstract: A circuit design system 10 includes storage means 11 to store structure description information 11a of a reconfigurable circuit including an array of cells 1 including a plurality of switches 2, and application circuit netlist information 11b used to specify an application, circuit generation unit 12a to generate structure description information 11a based on the structure description information 11a and the application circuit netlist information 11b stored in the storage means 11, and circuit evaluation unit 12b to evaluate the structure description information 11a generated by the circuit generation unit 12a, wherein the circuit generation unit 12a generates the structure description information 11a by deleting at least one of the switches 2 from the structure description information 11a based on an evaluation result obtained by the circuit evaluation unit 12b.
    Type: Grant
    Filed: May 15, 2009
    Date of Patent: January 28, 2014
    Assignee: NEC Corporation
    Inventor: Shogo Nakaya
  • Patent number: 8640081
    Abstract: Techniques for determining resistances of analog routes in electronic designs are described herein. In an example embodiment, a computer system receives first user input that indicates, in a user interface, a first component in an electronic design. The electronic design has been placed and routed for a programmable target device. The computer system receives second user input that selects, in the user interface, a particular component from one or more second components of the electronic design, where the one or more second components have analog connectivity to the first component. The computer system determines a resistance value of an analog route between the first component and the particular component, and displays the resistance value in association with the analog route in the user interface.
    Type: Grant
    Filed: May 6, 2013
    Date of Patent: January 28, 2014
    Assignee: Cypress Semiconductor Corporation
    Inventors: Mark Hastings, Chris Keeser
  • Patent number: 8640070
    Abstract: A plurality of target field programmable gate arrays are interconnected in accordance with a connection topology and map portions of a target system. A control module is coupled to the plurality of target field programmable gate arrays. A balanced clock distribution network is configured to distribute a reference clock signal, and a balanced reset distribution network is coupled to the control module and configured to distribute a reset signal to the plurality of target field programmable gate arrays. The control module and the balanced reset distribution network are cooperatively configured to initiate and control a simulation of the target system with the plurality of target field programmable gate arrays. A plurality of local clock control state machines reside in the target field programmable gate arrays. The local clock control state machines are coupled to the balanced clock distribution network and obtain the reference clock signal therefrom.
    Type: Grant
    Filed: November 8, 2010
    Date of Patent: January 28, 2014
    Assignee: International Business Machines Corporation
    Inventors: Sameh W Asaad, Ralph E Bellofatto, Bernard Brezzo, Charles L Haymes, Mohit Kapur, Benjamin D Parker, Thomas Roewer, Jose A Tierno
  • Patent number: 8635576
    Abstract: A method for the creation of rectilinear Steiner minimum trees includes determining a set of candidate connections from a terminal node to a different terminal node or to a graph edge. The length of each candidate connection may be used to determine the set of candidate connections that span the graph with a minimum total length.
    Type: Grant
    Filed: June 1, 2012
    Date of Patent: January 21, 2014
    Assignee: Oracle International Corporation
    Inventors: Min Zhao, Jingyan Zuo, Yu-Yen Mo
  • Patent number: 8635569
    Abstract: A universal memory I/O generating apparatus includes a defining module, a retrieving module, a generating module, and a layout module. The defining module defines a mapping table according to a pin configuration of a plurality of I/Os. The mapping table includes corresponding relationships between the plurality of IOs and a plurality of memory functions. The retrieving module retrieves control information corresponding to the mapping table from candidate information, which is associated with the corresponding relationships between the plurality of I/Os and the plurality of memory functions. The generating module generates a hardware description language (HDL) file according to the control information. The layout module programs the plurality of I/Os according to the HDL file, so that each of the I/Os can correspond to its corresponding memory function.
    Type: Grant
    Filed: November 17, 2010
    Date of Patent: January 21, 2014
    Assignee: MStar Semiconductor, Inc.
    Inventors: Sterling Smith, Hsian-Feng Liu, Eer-Wen Tyan, Chun-Chia Chen, Ming-Chieh Yeh, Chung-Ching Chen, Yo-Lin Chen
  • Patent number: 8634440
    Abstract: An integrated circuit including multiple instances of identical processing circuitry may be modelled within a field programmable gate array integrated circuit by second processing circuitry connected via a multiplexer to first processing circuitry and operating at a multiple of the clock frequency of the first processing circuitry. Demultiplexing circuitry is used to reform the multiple outputs of the respective separate instances to be fed back to the first processing circuitry.
    Type: Grant
    Filed: August 23, 2010
    Date of Patent: January 21, 2014
    Assignee: ARM Limited
    Inventors: Spencer J Saunders, Liam Dillon, Rafal J Janta
  • Patent number: 8635571
    Abstract: This disclosure relates generally to field-programmable gate arrays (FPGAs). Some implementations relate to methods and systems for transmitting and integrating an intellectual property (IP) block with another user's design. The IP developer can design the IP block to include both a secret portion and a public portion. The IP block developer can send or otherwise provide the IP block to another IP user without disclosing the functional description of the secret portion of the IP block. In some implementations, the IP developer provides the public portion to the IP user at the register-transfer-level (RTL) level, as a hardware description language (HDL)-implemented design, or as a synthesizable netlist. In some implementations, the IP developer provides the secret portion of the IP block to the user in the form of programming bits without providing an HDL, RTL, or netlist implementation of the secret portion.
    Type: Grant
    Filed: October 19, 2012
    Date of Patent: January 21, 2014
    Assignee: Altera Corporation
    Inventor: David Samuel Goldman
  • Patent number: 8607181
    Abstract: A system and method are provided for automatically converting a hardware abstraction language representation of a single-channel hardware module into a hardware abstraction language representation of a multi-channel module. Initially, a hardware abstraction language representation of a single channel hardware module is provided having an input port, output port, and a register. The method defines a number of channels and establishes a context switching memory. Commands are created for intercepting register communications. Commands are also created for storing the intercepted communications in a context switching memory, cross-referenced to channel. The module is operated using the created commands and stored communications from the context switching memory.
    Type: Grant
    Filed: February 7, 2012
    Date of Patent: December 10, 2013
    Assignee: Applied Micro Circuits Corporation
    Inventor: Dimitrios Mavroidis
  • Patent number: 8601421
    Abstract: An imaging solution that uses a small, adaptable, real-time, scalable, image-processing (SMARTS IP) chip configured to function like any one of a wide range of specialized FPA imaging devices, and a method for configuring and implementing same is provided. Configuration for a wide range of applications and implementations, including ones with or without IDCA assemblies or other types of dewar/cooler structures, is disclosed. A wide range of output data formats, including all SDI-compatible image data formats, may be accomplished. Frame stacking and variable effective resolution and charge well depth levels may be accomplished in output image data based on on-chip image processing techniques. On-chip image processing algorithms may include XR™, DRC, NUC, and other similar or related techniques. Image data output compression through on-chip processing is also disclosed.
    Type: Grant
    Filed: October 16, 2009
    Date of Patent: December 3, 2013
    Assignee: Lockheed Martin Corporation
    Inventors: Gene D. Tener, Mark A. Goodnough, Jennifer K. Park, David W. Borowski
  • Patent number: 8601424
    Abstract: A programmable logic device architecture having logic elements with dedicated hardware to configure the look up tables of the logic element to either perform logic functions or to operate as a register for pipelining. The programmable logic device includes a general interconnect and a plurality of logic array blocks interconnected by the general interconnect. Each of the plurality of logic blocks further includes one or more logic elements. The logic elements each include a first look up table, a second look up table, and dedicated hardware within the logic element to configure the first look table and the second look up table as a register without having to use the general interconnect. In one embodiment, the dedicated hardware includes a plurality of dedicated interconnects within the logic element to configure the two look up tables as a pair of cross coupled muxes or latches when configured as a register.
    Type: Grant
    Filed: September 29, 2010
    Date of Patent: December 3, 2013
    Assignee: Altera Corporation
    Inventors: Michael D. Hutton, Gregg William Baeckler, Jinyong Yuan, Chris Wysocki, Pouyan Djahani
  • Patent number: 8601423
    Abstract: A method of interconnecting blocks of heterogeneous dimensions using a NoC interconnect with sparse mesh topology includes determining a size of a mesh reference grid based on dimensions of the chip, dimensions of the blocks of heterogeneous dimensions, relative placement of the blocks and a number of host ports required for each of the blocks of heterogeneous dimensions, overlaying the blocks of heterogeneous dimensions on the mesh reference grid based on based on a guidance floor plan for placement of the blocks of heterogeneous dimensions, removing ones of a plurality of nodes and corresponding ones of links to the ones of the plurality of nodes which are blocked by the overlaid blocks of heterogeneous dimensions, based on porosity information of the blocks of heterogeneous dimensions, and mapping inter-block communication of the network-on-chip architecture over remaining ones of the nodes and corresponding remaining ones of the links.
    Type: Grant
    Filed: October 23, 2012
    Date of Patent: December 3, 2013
    Assignee: NetSpeed Systems
    Inventors: Joji Philip, Sailesh Kumar, Eric Norige, Mahmud Hassan, Sundari Mitra
  • Patent number: 8595670
    Abstract: Methods and apparatus are described for efficiently performing EDA processing to arrive at a hardware definition for a varying fraction of a large circuit design. EDA processing is conducted targeting a pseudo hardware device with sufficient capacity to embody circuitry for the varying fraction, but substantially less than the true hardware target. The novel methods and apparatus may be beneficially employed to produce reconfiguration information for circuits that include programmable logic, for example.
    Type: Grant
    Filed: March 8, 2010
    Date of Patent: November 26, 2013
    Assignee: Altera Corporation
    Inventors: John Tse, Neville Carvalho
  • Patent number: 8593177
    Abstract: An integrated circuit includes a clock-tree with a plurality of clock buffers, a plurality of clocked storage elements, and a plurality of logic circuits. Each clocked storage element has a clock input terminal connected to one of the plurality of clock buffers and a weight. Each of the logic circuits is associated with two of the plurality of clocked storage elements and is characterized as having a logic depth. The weight of each clocked storage element is equal to a sum of an inverse of a logic depth of each of the plurality of logic circuits associated therewith. A first clocked storage element which has a highest weight and is adjacent to and interacts with a second clocked storage element via one of the plurality of logic circuits. A first clock buffer provides a common clock signal to the first and second clocked storage elements.
    Type: Grant
    Filed: March 19, 2012
    Date of Patent: November 26, 2013
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Arun Sundaresan Iyer, Nithin Shetty Kidiyoor, Shyam Sundaramoorthy, Ravishankar Karthikeyan
  • Patent number: 8595658
    Abstract: Clock distribution circuitry for a structured ASIC device includes a deterministic portion and configurable portions. The deterministic portion employs a predetermined arrangement of conductor segments and buffers for distributing a clock signal to a plurality of predetermined locations on the device. From each predetermined location, an associated configurable portion of the clock distribution circuitry distributes the clock signal to any clock utilization circuitry needing that clock signal in a predetermined area of the structured ASIC that is served from that predetermined location.
    Type: Grant
    Filed: June 26, 2008
    Date of Patent: November 26, 2013
    Assignee: Altera Corporation
    Inventors: Chooi Pei Lim, Joo Ming Too, Yew Fatt Kok, Kar Keng Chua
  • Patent number: 8595678
    Abstract: Disclosed is a program for creating a checking-statement which can be subsequently used to validate interconnections between logic blocks in a circuit design. The checking-statement is created by taking a description of how logic blocks in a circuit design are associated to one another (if at all), and cross referencing the description with rule statements specific to each logic block defining the allowable connections between the specific logic block and other logic blocks.
    Type: Grant
    Filed: February 3, 2012
    Date of Patent: November 26, 2013
    Assignee: International Business Machines Corporation
    Inventors: Craig M. Monroe, Michael R. Ouellette, Douglas E. Sprague, Michael A. Ziegerhofer
  • Patent number: 8595672
    Abstract: The invention relates to methods and devices to define and control the design of a configurable chip module, instrument or systems, for example, for measurement, control and communication systems or any portion thereof. The module may include one or more chip elements. This can be achieved using, for example, a Graphical User interface (GUI), that transforms selections made by the user to a hardware and/or software configuration for the system in a process transparent to the user. This enables implementation of a plurality of devices and larger subsystems on a chip or chip module without specific semiconductor design knowledge from the user. This transformation process is thus accomplished transparently to the user, who operates the GUI to define the measurement or action which needs to be performed thereby resulting in an automatic combination of hardware and/or software elements available to create a specific configuration.
    Type: Grant
    Filed: June 2, 2011
    Date of Patent: November 26, 2013
    Assignee: Innovations Holdings, L.L.C.
    Inventor: Ewa Herbst
  • Patent number: 8595671
    Abstract: Field Programmable Logic Arrays (FPGAs) are described which utilize multiple power supply voltages to reduce both dynamic power and leakage power without sacrificing speed or substantially increasing device area. Power reduction mechanisms are described for numerous portions of the FPGA, including logic blocks, routing circuits, connection blocks, switch blocks, configuration memory cells, and so forth. Embodiments describe circuits and methods for implementing multiple supplies as sources of Vdd, multiple voltage thresholding Vt, signal level translators, and power gating of circuitry to deactivate portions of the circuit which are inactive. The supply voltage levels can be fixed, or programmable. Methods are described for performing circuit CAD in the routing and assignment process on FPGAs, in particular for optimizing FPGA use having the power reduction circuits taught.
    Type: Grant
    Filed: May 4, 2010
    Date of Patent: November 26, 2013
    Assignee: The Regents of the University of California
    Inventor: Lei He
  • Patent number: 8595683
    Abstract: A method and apparatus for generating user clocks in a prototyping system is disclosed. A prototyping system has a plurality of programmable logic chips that are each programmed with one or more partition of a prototyped circuit design. For a circuit design having multiple user clock signals, each partition uses some or all of the user clocks. A reference clock signal is externally generated, and received by each of the programmable logic chips. Using a phase-locked loop, a plurality of in-phase higher frequency clock signals are generated from the reference clock signal. The user clock signals are then generated from these higher frequency signals using a plurality of divider circuits. Reset circuitry implemented in one of the programmable logic chips transmits a common reset signal to the divider circuits, maintaining the phase relationship of each user clock across the programmable logic chips.
    Type: Grant
    Filed: April 12, 2012
    Date of Patent: November 26, 2013
    Assignee: Cadence Design Systems, Inc.
    Inventors: Philip H. de Buren, Subramanian Ganesan, Jinny Singh
  • Patent number: 8587336
    Abstract: A reconfigurable logic block has a first circuit that configures an arithmetic circuit and a second circuit that configures a circuit outside of the arithmetic circuit. A plurality of different circuits are configured by changing the settings of predetermined signals in the first and second circuits.
    Type: Grant
    Filed: November 14, 2006
    Date of Patent: November 19, 2013
    Assignee: Semiconductor Technology Academic Research Center
    Inventors: Toshinori Sueyoshi, Masahiro Iida, Motoki Amagasaki, Kazuhiko Taketa, Taketo Heishi, Nobuharu Suzuki
  • Patent number: 8589847
    Abstract: A programmable transistor array circuit is disclosed comprising a semiconductor substrate; and a plurality of basic transistor units (BTUs) arranged in rows and columns of uniformly spaced cells, the BTUs further comprising PMOS transistor units (PTUs), NMOS transistor units (NTUs) and dummy transistor units (DTUs) each BTU having conductors arranged in a single direction running through the BTUs and the conductors being uniformly spaced with respect to each other. The arrangement of the BTUs is subject to restricted design rules. Logical transistor units (LTUs) are formed from the BTUs using first and second layers of metallization. Additional embodiments are disclosed incorporating the programmable transistor array circuit.
    Type: Grant
    Filed: November 12, 2012
    Date of Patent: November 19, 2013
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Oscar M. K. Law, Kuo H. Wu
  • Patent number: 8589838
    Abstract: A method for designing a system on a target device includes merging a netlist for a first partition of the system generated from a bottom-up design flow with a netlist for a second partition of the system from a top-down design flow to form a combined netlist, and performing fitting on the combined netlist.
    Type: Grant
    Filed: July 10, 2012
    Date of Patent: November 19, 2013
    Assignee: Altera Corporation
    Inventors: Terry Borer, Andrew Leaver, David Karchmer, Gabriel Quan, Stephen D. Brown
  • Patent number: 8589836
    Abstract: An equivalency testing system, for formally comparing an RTLM and HLM, is presented. RTLM and HLM are first converted into DFGs RTLMDFG and HLMDFG. RTLMDFG and HLMDFG are then put into timestep form and are called RTLMts and HLMts. A test bench CSts is selected that couples RTLMts and HLMts. The combination of RTLMts[t], HLMts [t] and CSts[t] can have parts designated as datapath. Parts designated as datapath can be subject to a form of equivalence checking that seeks to prove equivalence by a form of inductive theorem proving that propagates symbolic values indicative of whether a node carries the same data content as another node. The theorem proving starts from initial conditions for HLMts [t] determined by partial execution of the HLM. Propagation to a combinational function output can be determined from equivalence relationships between it and another combinational function. Propagation through a multiplexer can produce a conditional symbolic value.
    Type: Grant
    Filed: April 30, 2008
    Date of Patent: November 19, 2013
    Assignee: Synopsys, Inc.
    Inventors: Alfred Koelbl, Carl Preston Pixley
  • Patent number: 8578307
    Abstract: Systems and methods for automated control/monitoring code development for ASICs and PLDs are provided. Control/monitor structures associated with a module may be inputted into a standard specification file. One or more default configurations for each control/monitor structure may also be inputted into the specification file. Fields of the specification file may be automatically populated or updated in response to user input in another field, and input and consistency errors may be automatically detected and/or corrected. After a request to build a module is received, one or more source or header output files may be automatically generated using information from the specification file. Automatically generated documentation may also be inserted into the output files, and links may be generated to and from hardware specifications and programmer's manuals.
    Type: Grant
    Filed: September 16, 2010
    Date of Patent: November 5, 2013
    Assignee: ViaSat, Inc.
    Inventors: James Heintel, Jane Smith
  • Patent number: 8572528
    Abstract: In one embodiment, a method and apparatus for analyzing a design of an integrated circuit (IC) are disclosed. For example, the method parses a netlist file of the IC where a module of the IC is parsed into a plurality of sub-modules in accordance with a hierarchical structure. The method traces through a connectivity of the plurality of sub-modules, and tabulates data associated with the connectivity with a fault cost associated with a structure of the IC.
    Type: Grant
    Filed: November 25, 2009
    Date of Patent: October 29, 2013
    Assignee: Xilinx, Inc.
    Inventors: William E. Leigh, Kenneth R. Weidele
  • Patent number: 8561007
    Abstract: A distributable and serializable finite state machine and methods for using the distributable and serializable finite state machine are provided wherein finite state machine instance can be location-shifted, time-shifted or location-shift and time-shifted, for example by serializing and deserializing each instance. Each instance can be located-shifted between agents, and a persistent memory storage location is provided to facilitate both location-shifting and time-shifting. Finite state machine instances and the actions that make up each instance can be run in a distributed fashion among a plurality of agents.
    Type: Grant
    Filed: June 22, 2012
    Date of Patent: October 15, 2013
    Assignee: International Business Machines Corporation
    Inventors: James R. Challenger, Louis R. Degenaro, James R. Giles, Paul Reed, Rohit Wagle
  • Patent number: 8560996
    Abstract: Approaches for dynamically reconfiguring a programmable integrated circuit (IC) are disclosed. In response to user input to a reconfiguration controller while a circuit is operating in programmable resources of the programmable IC, a replacement module and a module to be replaced in the circuit are selected. A process determines whether or not interfaces of the replacement module are compatible with interfaces of the circuit to the module to be replaced. In response to the interfaces of the replacement module and the interfaces of the circuit to the module to be replaced being compatible, the programmable IC is partially reconfigured with a realization of the replacement module in place of a realization of the module to be replaced.
    Type: Grant
    Filed: February 15, 2011
    Date of Patent: October 15, 2013
    Assignee: Xilinx, Inc.
    Inventors: Gordon J. Brebner, Christopher E. Neely
  • Patent number: 8560983
    Abstract: Mechanisms are provided for generating a physical layout of an integrated circuit design. A logic description of the integrated circuit design is received that comprises a first logic description of an irregular logic block of the integrated circuit design and a second logic description of a regular logic block of the integrated circuit design. A manual design of the regular logic block of the integrated circuit design is performed based on user input and an automated design of the irregular logic block of the integrated circuit design is performed without user input. The manual design of the regular logic block and the automated design of the irregular logic block are then integrated into the integrated circuit design to generate a hybrid integrated circuit design.
    Type: Grant
    Filed: December 6, 2011
    Date of Patent: October 15, 2013
    Assignee: International Business Machines Corporation
    Inventors: Uwe Brandt, Thomas M. Makowski, Christoph Wandel, Holger Wetter