With Partitioning Patents (Class 716/125)
-
Patent number: 8631377Abstract: A system and method for designing integrated circuits is disclosed. An embodiment comprises placing a standard cell with a first cell height into a cell row with a different height. The standard cell may have a height smaller than the cell row or else may have a height that is larger than the cell row. Vertical fillers and horizontal fillers are utilized to extend and connect the standard cell to adjacent cells without having to redesign the entire cell row.Type: GrantFiled: February 17, 2010Date of Patent: January 14, 2014Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.Inventors: Yun-Han Lee, Wu-An Kuo
-
Patent number: 8621412Abstract: Techniques are disclosed for partitioning a placement of a circuit design into a plurality of regions. A constraint is generated based on the partitioning of the placement and on the sequential elements that are located within each region. The constraint is provided to one or more design tools, and the constraint forces sequential elements to fall within the same region on the next placement. Some regions can be classified as guides, and these regions act as a recommendation for a design tool instead of as an explicit rule. Other regions can be classified as inclusive, and sequential elements can be allowed to enter the region but any sequential elements already in the region must stay in the region. Further regions can be classified as exclusive, and no sequential elements may enter or leave these regions on the next placement of the circuit design.Type: GrantFiled: September 11, 2012Date of Patent: December 31, 2013Assignee: Apple Inc.Inventors: Suparn Vats, John H. Mylius, Karthik Rajagopal
-
Patent number: 8621411Abstract: Bit stacks of an integrated circuit design are identified in a netlist by analyzing cell clusters. Candidate bit stacks are generated for each cluster using cone tracing, and wirelength costs are calculated for the candidate bit stacks based on the cells' locations from a previous (e.g., global) placement. The bit stack partition having a minimum total wirelength cost is selected for the final bit stacks. The invention can find K bit stacks in a cell cluster having N input cells and M output cells, where K, N and M are all different. The method is advantageously made timing aware by weighting connections between cells using weights based on timing information. Once the final bit stacks have been identified, the information can be included in the netlist and passed to a datapath placer for optimized placement.Type: GrantFiled: July 19, 2012Date of Patent: December 31, 2013Assignee: International Business Machines CorporationInventor: Samuel I. Ward
-
Publication number: 20130346938Abstract: A computer implemented method for reworking a plurality of cells initially placed in a circuit design. An expander allocates cells to tiles. The expander determines a high detailed routing cost tile class, wherein the high detailed routing cost tile class is a class of tiles that has high detailed routing costs. The expander selects a cell within a tile of the high detailed routing cost tile class to form a selected cell in a selected tile. The expander applies multiple techniques to reposition these cells at new locations to improve the detailed routability. The expander can place an expanded bounding box around the selected cell, wherein the bounding box extends to at least one tile adjacent the selected tile, and repositions the selected cell within the bounding box to form a modified design to improve the detailed routability. The expander may also inflate and legalize those cells.Type: ApplicationFiled: May 24, 2013Publication date: December 26, 2013Applicant: International Business Machines CorporationInventors: Charles J Alpert, Zhuo D Li, Gi-Joon Nam, Shyam Ramji, Lakshmi N Reddy, Jarrod A Roy, Taraneh E Taghavi, Paul G Villarrubia, Natarajan Viswanathan
-
Patent number: 8578316Abstract: A method of automatically generating structure files employing a full structure generator automated program is provided. An annotated device layout file is generated from a design layout by annotating the codes for design shapes with additional text representing the functionality of a physical structure associated with each design shape. Functioning individual semiconductor devices are identified from the annotated device layout file, and a circuit area including multiple interconnected semiconductor devices are identified. A front-end-of-line (FEOL) device structure file and a back-end-of-line (BEOL) device structure file are generated from layer by layer analysis of the components of the annotated device layout within the circuit area. Finite element meshes (FEMs) are generated for the FEOL and BEOL structure files and merged to provide a structure file that can be employed for simulation of semiconductor devices therein.Type: GrantFiled: September 8, 2012Date of Patent: November 5, 2013Assignee: International Business Machines CorporationInventors: Rajiv V. Joshi, Ajay N. Bhoj
-
Patent number: 8555215Abstract: Methods for fabricating semiconductor devices are provided. In an embodiment, a method of fabricating a semiconductor device includes scanning a circuit design layout and proposing patterns for decomposed layouts. The proposed patterns are then compared with a library of prior patterns including a category of forbidden patterns and a category of preferred patterns. If a selected proposed pattern matches a forbidden pattern, the selected proposed pattern is eliminated. If the selected proposed pattern matches a preferred pattern, then the selected proposed pattern is identified for use in the decomposed layouts. Decomposed layouts are generated from the identified patterns. A plurality of masks is fabricated based on the decomposed layouts. Then a multiple patterning lithographic technique is performed with the plurality of masks on a semiconductor substrate.Type: GrantFiled: February 20, 2012Date of Patent: October 8, 2013Assignee: GLOBALFOUNDRIES, Inc.Inventors: Yi Zou, Swamy Maddu, Lynn T. Wang, Vito Dai, Luigi Capodieci, Peng Xie
-
Patent number: 8539419Abstract: Methods of designing an IC and a hierarchical design flow generator are disclosed. In one embodiment, the method includes: (1) receiving timing and physical constraints for an IC design at an apparatus, (2) establishing a hierarchical design flow for providing an implementation of the IC design employing the apparatus and (3) partitioning the hierarchical design flow into a late design flow portion and an early design flow portion employing the apparatus, wherein the late design flow portion is substantially the same for different design flow methodologies.Type: GrantFiled: March 15, 2012Date of Patent: September 17, 2013Assignee: LSI CorporationInventors: Vishwas M. Rao, James C. Parker
-
Patent number: 8533650Abstract: A method is provided to produce a persistent representation of a annotation to a circuit design comprising: providing a block hierarchy that corresponds to the circuit design; displaying in a computer user interface display a first elaborated view of the circuit design that corresponds to the first instance of a block hierarchy; receiving user input to associate the annotation with a component of the elaborated view of the design; providing in a mirrored block hierarchy; and associating the annotation with the mirrored block hierarchy in computer readable storage media.Type: GrantFiled: September 17, 2009Date of Patent: September 10, 2013Assignee: Cadence Design Systems, Inc.Inventors: Bogdan G. Arsintescu, Gilles S. C. Lamant
-
Patent number: 8533652Abstract: A method for designing a system to be implemented on a target device includes generating bounding boxes on the target device for nets in the system where a bounding box identifies routing resources available for routing its corresponding net. The nets in the system are assigned to a plurality of threads to be routed. The threads are executed so that a plurality of the nets are routed in parallel within their corresponding bounding box.Type: GrantFiled: September 13, 2012Date of Patent: September 10, 2013Assignee: Altera CorporationInventors: Vaughn Betz, Jordan Swartz, Vadim Gouterman
-
Patent number: 8516407Abstract: A method for fabricating an integrated circuit is disclosed that includes, in accordance with an embodiment, providing a double patterning technology-compliant logical design for the integrated circuit, the logical design including a plurality of elements; scoring the design of one or more of the plurality of elements to produce a design score; modifying the design based at least in part on the design score; generating a mask set implementing the modified logical design; and employing the mask set to implement the logical design in and on a semiconductor substrate.Type: GrantFiled: January 30, 2012Date of Patent: August 20, 2013Assignee: GLOBALFOUNDRIES, Inc.Inventors: Lynn T. Wang, Sriram Madhavan, Luigi Capodieci
-
Patent number: 8516417Abstract: The invention relates to a method and a system for repartitioning a formalized hardware description of a hierarchically structured electronic circuit design unit comprising a plurality of macros in terms of latch macros and combinatorial macros. In a first step, each macro is dissected into latch macros and signal cones in such a way that each signal cone comprises signals linking macro input/output to a latch output/input, and each latch macro comprises at least one latch, each primary input an output of said latch macro coinciding with an input or an output of a latch within said latch macro. Subsequently, combinatorial macros are created by merging combinatorial signal cones along unit signal paths.Type: GrantFiled: July 7, 2010Date of Patent: August 20, 2013Assignee: International Business Machines CorporationInventors: Elmar Gaugler, Wilhelm Haller, Friedhelm Kessler
-
Patent number: 8490244Abstract: A method of automatically generating structure files employing a full structure generator automated program is provided. An annotated device layout file is generated from a design layout by annotating the codes for design shapes with additional text representing the functionality of a physical structure associated with each design shape. Functioning individual semiconductor devices are identified from the annotated device layout file, and a circuit area including multiple interconnected semiconductor devices are identified. A front-end-of-line (FEOL) device structure file and a back-end-of-line (BEOL) device structure file are generated from layer by layer analysis of the components of the annotated device layout within the circuit area. Finite element meshes (FEMs) are generated for the FEOL and BEOL structure files and merged to provide a structure file that can be employed for simulation of semiconductor devices therein.Type: GrantFiled: April 16, 2012Date of Patent: July 23, 2013Assignee: International Business Machines CorporationInventors: Rajiv V. Joshi, Ajay N. Bhoj
-
Patent number: 8473881Abstract: A method of partitioning a circuit design can include identifying a circuit design in which components of the circuit design are assigned to each of a plurality of regions, wherein each region corresponds to a physical portion of an integrated circuit. A maximum oversubscription region can be determined for a selected component type from the plurality of regions. A target region from the plurality of regions can be selected that is adjacent to the region of maximum oversubscription. The method also can include re-assigning, by a processor, a selected number of components of the maximum oversubscription region to the target region.Type: GrantFiled: January 17, 2011Date of Patent: June 25, 2013Assignee: Xilinx, Inc.Inventors: Wei Mark Fang, Vishal Suthar, Srinivasan Dasasathyan
-
Patent number: 8453094Abstract: A global placement grating (GPG) is defined for a chip level to include a set of parallel and evenly spaced virtual lines. At least one virtual line of the GPG is positioned to intersect each contact that interfaces with the chip level. A number of subgratings are defined. Each subgrating is a set of equally spaced virtual lines of the GPG that supports a common layout shape run length thereon. The layout for the chip level is partitioned into subgrating regions. Each subgrating region has any one of the defined subgratings allocated thereto. Layout shapes placed within a given subgrating region in the chip level are placed in accordance with the subgrating allocated to the given subgrating region. Non-standard layout shape spacings at subgrating region boundaries can be mitigated by layout shape stretching, layout shape insertion, and/or subresolution shape insertion, or can be allowed to exist in the final layout.Type: GrantFiled: January 30, 2009Date of Patent: May 28, 2013Assignee: Tela Innovations, Inc.Inventors: Stephen Kornachuk, Jim Mali, Carole Lambert, Scott T. Becker
-
Patent number: 8448118Abstract: Solutions for determining intra-die wirebond pad placement locations in an integrated circuit (IC) die are disclosed. In one embodiment, a method includes generating a dividing band in the IC die, the dividing band dividing the IC die into a first region and a second region; determining a voltage (IR) drop across the first region and the second region; comparing the IR drops across the regions; and in response to the IR drops being substantially unequal, moving the dividing band, determining new IR drops across the regions, and comparing the new IR drops until the IR drops are substantially equal. The dividing band may provide desired locations for intra-die wirebond pads.Type: GrantFiled: February 22, 2011Date of Patent: May 21, 2013Assignee: International Business Machines CorporationInventors: Richard S. Graf, Haruo Itoh, Wai Ling Chung-Maloney
-
Patent number: 8429588Abstract: Disclosed is an improved approach for organizing, analyzing, and operating upon polygon data which significantly reduces the amount of data required for processing while keeping elements non-interfacing with each other. According to one approach, clusters of elements are extracted which are then handled separately. In some approaches, a set of polygons forms a cluster if for any two polygons from the set of polygons there exists a sequence of polygons from the set such that the distance between any sequential polygons are less than or equal to a given threshold number. Rather than analyzing each and every polygon in the design, repetitive unique patterns are analyzed once, which are then replicated for all clusters which have the same repetitive pattern.Type: GrantFiled: March 14, 2011Date of Patent: April 23, 2013Assignee: Cadence Design Systems, Inc.Inventors: Anwar Irmatov, Alexander Belousov, Eitan Cadouri, Andrei Gratchev, Alexander Ryjov, Laurent Thenie
-
Patent number: 8429587Abstract: A method for decomposing a designed pattern layout and a method for fabricating an exposure mask using the same. After the designed pattern layout is automatically decomposed to obtain a plurality of mask layouts, a problematic region is determined through simulation of the mask layout, and fed back to correct the designed pattern layout. As a result, problems can be detected in each process and corrected to reduce the process time.Type: GrantFiled: February 27, 2012Date of Patent: April 23, 2013Assignee: Hynix Semiconductor Inc.Inventor: Cheol Kyun Kim
-
Patent number: 8423944Abstract: A design supporting method includes partitioning a partition path of circuit information into partitioned paths based on a given condition, calculating a variation value of each of the partitioned paths based on variation values on a delay of a cell included in the corresponding partitioned path, calculating a partition propagation delay time of each of the partitioned paths based on the variation value of the corresponding partitioned path, and calculating a source propagation delay time of the source path by merging the propagation delay time of each of the partitioned paths.Type: GrantFiled: December 17, 2009Date of Patent: April 16, 2013Assignee: Fujitsu Semiconductor LimitedInventor: Mitsuru Onodera
-
Patent number: 8418115Abstract: A method of component placement for a multi-die integrated circuit (IC) can include partitioning a plurality of components of a netlist among a plurality of dies of the multi-die IC and selecting a superimposition model specifying a positioning of at least two of the plurality of dies at least partially superimposed with respect to one another. The method also can include assigning, by a processor, components of the netlist to hardware units within each of the plurality of dies according, at least in part, to a wire-length metric calculated using the superimposition model.Type: GrantFiled: May 11, 2010Date of Patent: April 9, 2013Assignee: Xilinx, Inc.Inventors: Marvin Tom, Rajat Aggarwal, Srinivasan Dasasathyan
-
Patent number: 8402418Abstract: Embodiments of the claimed subject matter are directed to methods and a system that use a standardized grid of clock buffers to automatically route clocks according to a uniform clock grid throughout an ASIC of a non-uniform arrangement of non-uniformly sized logic partitions. According to one embodiment, clock sources and sinks are mapped to grid point locations and a novel grid routing process is performed to link them together. A clock routing macro is assigned to a corresponding partition and associated with the corresponding partition or logic unit according to a partition hierarchy. The underlying routing structure and resources of a clock routing macro are automatically renamed to correspond to the local partition in a script or schedule of programmed instructions, or a routing map. The position of blockages within a partition may also be detected and alternate routes for traversing the blockage may be preemptively determined as well.Type: GrantFiled: December 31, 2009Date of Patent: March 19, 2013Assignee: NVIDIA CorporationInventors: Clay Berry, Timothy J. McDonald
-
Patent number: 8392866Abstract: A task-based multi-process design synthesis methodology relies on a plurality of child processes to assist a parent process in performing optimizations on an integrated circuit design. Objects from an integrated circuit design are grouped into subsets and assigned to child processes, with each child process performing a transform on each of the objects in the subset assigned to that child process and determining which of the objects in the subset are candidate objects for which performance of the transform has been successful. Each child process also provides result data to the parent process for each candidate object to reduce the overhead of the parent process when performing the transform on the candidate object. The result data, which may include, for example, a set of instructions or hints, may allow a parent process to take advantage of the efforts of the child process in performing the transform.Type: GrantFiled: December 20, 2010Date of Patent: March 5, 2013Assignee: International Business Machines CorporationInventors: Anthony D. Drumm, Frank J. Musante, Jagannathan Narasimhan, Louise H. Trevillyan
-
Patent number: 8365127Abstract: A semiconductor device, includes a first wiring pattern in a first region, a second wiring pattern in a second region, and at least one first dummy pattern formed in the first region and at least one second dummy pattern formed in the second region. A total area of the at least one first dummy pattern is the same as a total area of the at least one second dummy pattern and a total length of pattern periphery of the at least one second dummy pattern is longer than a total length of pattern periphery of the at least one first dummy pattern. The first region and the second region have same area.Type: GrantFiled: April 18, 2012Date of Patent: January 29, 2013Assignee: Renesas Electronics CorporationInventor: Keisuke Hirabayashi
-
Patent number: 8316342Abstract: A method of interconnecting a first plurality of electronic components and a second plurality of electronic components to form an electronic circuit includes exporting a first netlist representing a first interconnection of the first electronic components in a first design entry tool, exporting a second netlist representing a second interconnection of the second electronic components in a second design entry tool, providing at least a first interface from the second plurality to the first plurality in the second design entry tool, populating the first interface through the first design entry tool, and exporting a third netlist representing the first interface from the second plurality to the first plurality from the second design entry tool, wherein the third netlist stitches the first netlist to the second netlist.Type: GrantFiled: June 2, 2010Date of Patent: November 20, 2012Assignee: Cadence Design Systems, Inc.Inventors: Taranjit Kukal, Chris Cheung, Vikas Kohli, Keith Felton, Frank X. Farmar, Steven R. Durrill
-
Patent number: 8312408Abstract: A layout region in which a wiring pattern and a special pattern are placed is divided into division regions. The minimum pitch for the special pattern is larger than the minimum pitch for the wiring pattern. With respect to each division region, the special pattern included in a predetermined region surrounding the each division region is extracted as a peripheral pattern, and a dummy pattern placement region included in the each division region is determined. The dummy pattern placement region is apart from at least one of boundaries between adjacent division regions. A dummy pattern is added in the dummy pattern placement region with avoiding a design rule error with the peripheral pattern existing around the each division region. Then, the plurality of division regions to which the dummy pattern is added are coupled with each other.Type: GrantFiled: May 24, 2010Date of Patent: November 13, 2012Assignee: Renesas Electronics CorporationInventor: Daishin Itagaki
-
Patent number: 8312409Abstract: A method is described that includes: determining that nets of the circuit design comprise overlap, where the overlap indicates that at least two of the nets of the circuit design use a same routing resource; dividing the nets with overlap among a plurality of buckets, where for each bucket, a net of the bucket does not overlap any other net in the bucket; sequentially processing each bucket by unrouting and rerouting, via at least one processor, nets in the bucket; and storing routing information specifying routes for nets of the circuit design.Type: GrantFiled: March 5, 2010Date of Patent: November 13, 2012Assignee: Xilinx, Inc.Inventors: Gitu Jain, Vinay Verma, Taneem Ahmed, Sandor S. Kalman, Sanjeev Kwatra, Christopher H. Kingsley, Jason H. Anderson, Satyaki Das
-
Patent number: 8312410Abstract: Some embodiments provide a system that improves performance during parameterized cell instantiation in an electronic design automation (EDA) application. During operation, the system persists evaluation results associated with a parameterized cell in the design within a session of the EDA application so that the evaluation results are available even after they have been flushed from memory. Further, the system can persist the evaluation results across sessions of the EDA application. Next, the system uses the persisted evaluation results to instantiate the parameterized cell without re-evaluating the parameterized cell. Finally, the system discards the persisted evaluation results based at least on a dependency associated with the parameterized cell.Type: GrantFiled: March 5, 2010Date of Patent: November 13, 2012Assignee: Synopsys, Inc.Inventors: William K. Foster, Scott I. Chase
-
Patent number: 8312399Abstract: Over the years, parallel processing has become increasingly common. Conventional circuit simulators have not taken full advantage of these developments, however. Here, a circuit simulator and system are provided that partitions circuit matrices to allow for more efficient parallel processing to take place. By doing this, the overall speed and reliability of the circuit simulator can be increased.Type: GrantFiled: October 22, 2009Date of Patent: November 13, 2012Assignee: Texas Instruments IncorporatedInventors: Gang Peter Fang, Ning Dong, Zhongze Li
-
Patent number: 8296709Abstract: A method for designing a system to be implemented on a target device includes generating bounding boxes on the target device for nets in the system where a bounding box identifies routing resources available for routing its corresponding net. The nets in the system are assigned to a plurality of threads to be routed. The threads are executed so that a plurality of the nets are routed in parallel within their corresponding bounding box.Type: GrantFiled: December 6, 2011Date of Patent: October 23, 2012Assignee: Altera CorporationInventors: Vaughn Betz, Jordan Swartz, Vadim Gouterman
-
Patent number: 8276110Abstract: A method of designing an integrated circuit includes providing an integrated circuit design including a power network. A voltage drop mitigation system is provided, which includes a power strap enhancer configured to automatically find a source node and a terminal node in the power network. A redundant strap for the power network using the voltage drop mitigation system is added, wherein the redundant strap interconnects the source node and the terminal node. After the step of adding the redundant strap, dummy patterns may be added.Type: GrantFiled: January 22, 2010Date of Patent: September 25, 2012Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.Inventors: Dinesh Baviskar, Wen-Hao Chen, Chung-Sheng Yuan, Mark Shane Peng, Yun-Han Lee
-
Patent number: 8271918Abstract: Methods and apparatus for performing automated formal clock domain crossing verification on a device are detailed. In various implementations of the invention, a device may be analyzed, wherein the clock domain crossing boundaries are identified. Subsequently, a formal clock domain crossing verification method may be applied to the identified clock domain crossing boundaries, resulting in clock domain crossing assertions being identified. After which the identified assertions may be promoted for post clock domain crossing analysis. With various implementations of the invention, a formal clock domain crossing method is provided, wherein the device components near an identified clock domain crossing are extracted. Assertions may then be synthesized and verified based upon the extracted components. Various implementations of the invention provide for clock domain crossing verification to be performed iteratively, wherein a larger and larger selection of the device is extracted during formal verification.Type: GrantFiled: September 14, 2009Date of Patent: September 18, 2012Assignee: Mentor Graphics CorporationInventors: Ka-Kei Kwok, Bing Li, Tai An Ly, Rojer Raji Sabbagh
-
Patent number: 8266570Abstract: Some embodiments provide techniques and systems for improving the efficiency of area recovery in an electronic design automation (EDA) flow. During operation, the system determines a utilization of a region from a set of regions in a design floorplan. Next, the system performs area recovery (e.g., by using a processor) on the region based at least on the utilization. Specifically, the system can overlay the design floorplan with a grid, wherein the grid comprises a set of grid cells and uses the grid cells as the set of regions. The grid can be associated with a predetermined number of rows and a predetermined number of columns. The system can determine the utilization of the region by calculating the utilization as a cell area of the region divided by a placement area of the region. The utilization can be incrementally calculated during the creation and optimization of the design.Type: GrantFiled: January 29, 2010Date of Patent: September 11, 2012Assignee: Synopsys, Inc.Inventors: Robert Walker, Mahesh A. Iyer
-
Patent number: 8261223Abstract: A placer produces a global placement plan specifying positions of cell instances and orientations of macros within an integrated circuit (IC) by initially clusterizing cell instances and macros to form a pyramidal hierarchy of blocks. Then the placer iteratively repeats the declusterization and routability improvement process from the highest level to the lowest level of the hierarchy. An objective function is provided in Cartesian coordinate for representing the position of each movable instance and in polar coordinate for representing the orientation of a macro relative to its the center. For each movable instance and each rotatable macro, its position or orientation is determined by conjugate gradient method to minimize total wire length. Finally, the placer uses a look-ahead legalization technique to rotate rotatable macros to legal orientations and move cell instances to legal positions in the end of global placement.Type: GrantFiled: April 25, 2011Date of Patent: September 4, 2012Assignees: Springsoft Inc., Springsoft USA, Inc.Inventors: Meng-Kai Hsu, Yao-Wen Chang, Tung-Chieh Chen
-
Patent number: 8261220Abstract: Partitioning of a design allows static timing analysis (STA), signal integrity, and noise analysis to be performed in parallel on multiple, less demanding, and more available hardware resources. Therefore, runtime and throughput of the analysis can be significantly shortened. Notably, the partitioning can include redundancy. That is, partitions are allowed to share objects in order to preserve the timing path completeness and design structural integrity. Due to this redundancy, these partitions can account for many constraints specifically imposed by STA and ensure minimal inter-partition data dependency during the analysis. Once these partitions are populated, analysis can be performed on those partitions in parallel to generate the same timing results as if the design had been analyzed flat as a single unit. Therefore, the performance of the analysis can be optimized without compromising the accuracy and quality of results.Type: GrantFiled: November 30, 2009Date of Patent: September 4, 2012Assignee: Synopsys, Inc.Inventors: Qiuyang Wu, Brian Clerkin
-
Patent number: 8255841Abstract: Optical proximity correction techniques performed on one or more graphics processors improve the masks used for the printing of microelectronic circuit designs. Execution of OPC techniques on hardware or software platforms utilizing graphics processing units. GPUs may share the computation load with the system CPUs to efficiently and effectively execute the OPC method steps.Type: GrantFiled: June 9, 2009Date of Patent: August 28, 2012Assignee: Gauda, Inc.Inventors: Ilhami H. Torunoglu, Ahmet Karakas
-
Patent number: 8249849Abstract: An area partitioning processing unit equally partitions a power source network analysis object area of an LSI according to the number or size of partitioned areas specified by a user or partitions the power source network analysis object area according to the user's specification. A border processing unit extracts and adds a range-of-influence part of the power source network that can electrically influence a border between the partitioned area partitioned by the area partitioning processing unit and an adjacent power source network area. A modeling processing unit performs processing of resistance modeling of the partitioned area or a correction spot with the range-of-influence part added thereto by the border processing unit. A power source network analyzing processing unit analyzes a resistance model modeled by the modeling processing unit and calculates potential of each via as a current source to a load element.Type: GrantFiled: January 22, 2009Date of Patent: August 21, 2012Assignee: Fujitsu LimitedInventor: Yasuo Amano
-
Patent number: 8245173Abstract: Scheduling of parallel processing for regionally-constrained object placement selects between different balancing schemes. For a small number of movebounds, computations are assigned by balancing the placeable objects. For a small number of objects per movebound, computations are assigned by balancing the movebounds. If there are large numbers of movebounds and objects per movebound, both objects and movebounds are balanced amongst the processors. For object balancing, movebounds are assigned to a processor until an amortized number of objects for the processor exceeds a first limit above an ideal number, or the next movebound would raise the amortized number of objects above a second, greater limit. For object and movebound balancing, movebounds are sorted into descending order, then assigned in the descending order to host processors in successive rounds while reversing the processor order after each round. The invention provides a schedule in polynomial-time while retaining high quality of results.Type: GrantFiled: January 26, 2009Date of Patent: August 14, 2012Assignee: International Business Machines CorporationInventors: Gi-Joon Nam, Shyam Ramji, Taraneh Taghavi, Paul G. Villarrubia
-
Patent number: 8239805Abstract: Methods of designing an IC and a hierarchical design flow generator are disclosed. In one embodiment, a method includes: (1) partitioning a design implementation flow for an IC into a late design flow portion and an early design flow portion employing a processor, (2) dividing components of the late design flow portion and the early design flow portion into a functional block implementation section and a top level implementation section employing the processor, (3) aligning dependencies between the functional block implementation sections and the top level implementation sections in both of the early design flow portion and the late design flow portion employing the processor and (4) implementing a layout for the IC based on the early and the late design flow portions employing the processor.Type: GrantFiled: July 27, 2009Date of Patent: August 7, 2012Assignee: LSI CorporationInventors: Vishwas M. Rao, James C. Parker
-
Patent number: 8225260Abstract: A programmable analog tile integrated circuit placement tool allows a user to manipulate a graphical representation of a first power management integrated circuit (PMIC) tile with respect to a graphical representation of a second PMIC tile in a proposed Multi-Tile Power Management Integrated Circuit (MTPMIC). The novel PMIC tiles have pre-defined physical structures including a bus portion and a memory structure for storing configuration information for configuring the tile. When appropriately placed in a MTPMIC, the bus portions of the selected tiles automatically form a standardized bus that accommodates all signal communication required for a functioning MTPMIC. A remote user with minimal training in analog circuit design may command the placement of individual tiles in a proposed MTPMIC layout. Upon receiving a user response indicating satisfaction with the placement of PMIC tiles, the tool quickly and automatically generates physical layout data suitable for fabrication of the MTPMIC.Type: GrantFiled: January 30, 2009Date of Patent: July 17, 2012Assignee: Active-Semi, Inc.Inventors: Steven Huynh, Matthew A. Grant, Gary M. Hurtz, David J. Kunst, Trey A. Roessig
-
Patent number: 8219959Abstract: A method of generating a floorplan layout of an integrated circuit (IC) that is amenable to implementation in a computer-aided design tool. The method is capable of performing placement and routing processing for the IC while requiring very little information about the specific circuitry used in various functional blocks of the IC. For example, at the time of the placement and routing processing, one or more functional blocks of the IC can be specified as empty functional blocks and/or functional blocks that are only partially rendered in gates.Type: GrantFiled: July 24, 2009Date of Patent: July 10, 2012Assignee: LSI CorporationInventors: Juergen Dirks, Norbert Mueller, Stefan Block
-
Patent number: 8209644Abstract: Some embodiments provide a method of simulating an electrical circuit that receives a circuit description that has a set of sub-circuits. The method defines several partitions for several sub-circuits. The method then simulates the circuit using the partitioned sub-circuits. In some embodiments, the method ranks the sub-circuits prior to partitioning based on a parent-child relationship that shows how a sub-circuit is instantiated by other sub-circuits. These embodiments partition child sub-circuits first. Some embodiments provide a method of partitioning an electrical circuit that has a set of sub-circuits. For a particular sub-circuit that is instantiated from other sub-circuits, the method duplicates the particular sub-circuit into a first copy and a second copy when one port of the particular sub-circuit is connected to a voltage source in at least one instance and the same port is not connected to a voltage source in at least another instance.Type: GrantFiled: May 22, 2009Date of Patent: June 26, 2012Assignee: Infinisim, Inc.Inventors: Perry Gee, Syed Zakir Hussain
-
Patent number: 8205182Abstract: In one embodiment of the invention, a method for designing an integrated circuit is disclosed. The method includes automatically partitioning clock sinks of an integrated circuit design into a plurality of partitions; automatically synthesizing a clock tree from a master clock generator into the plurality of partitions to minimize local clock skew within each of the plurality of partitions; and automatically synthesizing clock de-skew circuitry into each of the plurality of partitions to control clock skew between neighboring partitions.Type: GrantFiled: August 22, 2008Date of Patent: June 19, 2012Assignee: Cadence Design Systems, Inc.Inventors: Radu Zlatanovici, Christoph Albrecht, Saurabh Kumar Tiwary
-
Patent number: 8181142Abstract: A semiconductor device, includes a first wiring pattern in a first region, a second wiring pattern in a second region, and at least one first dummy pattern formed in the first region and at least one second dummy pattern formed in the second region. A total area of the at least one first dummy pattern is the same as a total area of the at least one second dummy pattern and a total length of pattern periphery of the at least one second dummy pattern is longer than a total length of pattern periphery of the at least one first dummy pattern.Type: GrantFiled: September 3, 2010Date of Patent: May 15, 2012Assignee: Renesas Electronics CorporationInventor: Keisuke Hirabayashi
-
Patent number: 8171444Abstract: A layout design support apparatus divides a first module obtained by dividing a semiconductor integrated circuit into a plurality of second modules in order to support a layout design for determining the disposition of each cell constituting the semiconductor integrated circuit and wiring, and makes the detailed design of a layout for determining the disposition of each cell in the second module and wiring for each second module.Type: GrantFiled: September 17, 2009Date of Patent: May 1, 2012Assignee: Fujitsu LimitedInventor: Ryoichi Yamashita
-
Patent number: 8166429Abstract: Apparatuses and processes for distributing signals in an integrated circuit are disclosed. An embodiment to use a custom layer together with a base layer on an integrated circuit for testing the integrated circuit includes having a structured network on the base layer. The custom layer connects the network to logic elements on the integrated circuit. The network may be evenly distributed across the base layer of the integrated circuit. Even distribution of the network may reduce skew of the test signals. Buffers are also placed along the structured network. The buffers may be placed to ensure a deterministic test signals distribution. Unused buffers in the base layer may be tied off to reduce current leakage.Type: GrantFiled: October 17, 2008Date of Patent: April 24, 2012Assignee: Altera CorporationInventors: Keong Hong Oh, Yee Liang Tan, Siang Poh Loh, Chooi Pei Lim
-
Patent number: 8160856Abstract: Some embodiments of the present invention provide a system that profiles a serial simulation of a circuit to estimate the performance of a parallel simulation of the circuit. During operation, the system profiles execution of module instances during a serial simulation of the circuit, wherein each module instance includes code which simulates signal propagation through a corresponding circuit module. Next, the system uses execution times for the module instances obtained from the serial simulation to estimate the performance of a parallel simulation of the circuit.Type: GrantFiled: February 27, 2008Date of Patent: April 17, 2012Assignee: Synopsys, Inc.Inventor: Philip R. Moorby
-
Patent number: 8151236Abstract: Roughly described, a method for mask data preparation is described, for use with a preliminary mask layout that includes a starting polygon, the vertices of the starting polygon including I-points (vertices of the starting polygon having an interior angle greater than 90 degrees), including steps of developing a rectilinear partition tree on at least the I-points of the starting polygon, and using the edges of the partition tree to define the partition of the starting polygon into sub-polygons for mask writing.Type: GrantFiled: January 19, 2008Date of Patent: April 3, 2012Assignee: Synopsys, Inc.Inventors: Qing Su, Yongqiang Lu, Charles C. Chiang
-
Patent number: 8146031Abstract: A method for generating and evaluating a table model for circuit simulation in N dimensions employing mathematical expressions for modeling a device. The table model uses an unstructured N-dimensional grid for approximating the expressions.Type: GrantFiled: December 4, 2008Date of Patent: March 27, 2012Assignee: Texas Instruments IncorporatedInventor: Gang Peter Fang
-
Patent number: 8146043Abstract: A method for performing a signal integrity analysis on an integrated circuit (IC) that includes a plurality of scatterers by dividing the scatterers into subgroups using a nested Huygens' equivalence principle algorithm and solving a set of equations realized thereby with a reduced coupling matrix. The method includes decomposing the IC design into a plurality of small non-overlapping circuit sub-domains, wherein each of the sub-domains is formed as a small, enclosed region. Each sub-domain is analyzed independently of the other sub-domains using only electric fields to represent the interactions of each sub-domains with the other sub-domains as equivalent currents on equivalent surfaces of the plurality of sub-domains. Neighboring equivalent sub-domains are grouped together to form larger sub-domains using equivalent currents on equivalent surfaces to represent the interactions of the sub-domains.Type: GrantFiled: March 5, 2010Date of Patent: March 27, 2012Assignee: International Business Machines CorporationInventors: Lijun Jiang, Jason D. Morsey, Barry J. Rubin, Weng C. Chew, Mao-kun Li, Yuan Liu
-
Patent number: 8132140Abstract: A circuit board analyzing method and a circuit board analyzer are provided which can greatly reduce analyzing time. The circuit board analyzer includes a computing unit 110, a memory unit 140 connected to the computing unit 110, and an input unit 160 connected to the computing unit 110. The computing unit 110 includes a wiring data acquiring section 310 acquiring data of wirings formed on a circuit board, a basic circuit diagram forming section 320 dividing the wirings into meshes and setting cells and branches connecting the adjacent cells, and an interference analysis setting section 330 setting an element ignoring range of elements set in the cells and the branches.Type: GrantFiled: December 27, 2007Date of Patent: March 6, 2012Assignee: Panasonic CorporationInventors: Kazuhide Uriu, Toru Yamada, Masahiro Yamaoka
-
Patent number: 8112732Abstract: A system and computer program product for cell placement in an integrated circuit design that uses a calculated diffusion velocity determined from a density value in order to relocate the cells until the cell placement reduces the density below a predetermined threshold. The method acts to control the movement of different cells to reduce the density of the cells prior to legalization of the cell placement.Type: GrantFiled: November 4, 2008Date of Patent: February 7, 2012Assignee: International Business Machines CorporationInventors: Charles J Alpert, Haoxing Ren, Paul Gerard Villarubia