Testing Or Evaluating Patents (Class 716/136)
  • Patent number: 11966681
    Abstract: The computer executable instructions include a command that accepts multiple user input through various command options. The command encapsulates and implements multiple original software algorithms that convert trunking design intent, expressed via the command options, into trunks on multiple layers of a process technology node. Once executed, the command generates shapes of trunks of specified topology on specified layers. The command includes a set of options to generate a simple or complex trunking topology. The command accepts topology, set of zones, nets and many other options that the user provides to the command to yield trunks of a desired topology. The topology description is relative; thus, it can easily adjust as design changes. The command together with its options represents trunk creation intent.
    Type: Grant
    Filed: August 25, 2020
    Date of Patent: April 23, 2024
    Assignee: Intel Corporation
    Inventors: Sergei Babokhov, Charles Magnuson
  • Patent number: 11966680
    Abstract: The disclosure provides a system to simulate a simulated noise on the power zone block of a substrate. The system comprises a signal trace and a signal generating circuit. The signal trace is disposed adjacent to the power zone block. The signal generating circuit is electrically coupled to the signal trace, configured to transmit an alternating current signal over the signal trace. The alternating current signal transmitted over the signal trace is configured to induce a simulated noise on the power zone block, and a waveform of the simulated noise is determined by a frequency of the alternating current signal.
    Type: Grant
    Filed: July 19, 2021
    Date of Patent: April 23, 2024
    Assignee: NANYA TECHNOLOGY CORPORATION
    Inventors: Kuo-Chiang Hung, Tsung-Ho Li
  • Patent number: 11959956
    Abstract: A circuit check method and an electronic apparatus applicable to a to-be-tested circuit are provided. The to-be-tested circuit has one or more first nodes related to a gate voltage of one or more transistor devices and a plurality of second nodes. The circuit check method includes: setting endpoint voltages of a plurality of input interface ports of the to-be-tested circuit; obtaining a first node voltage of the first node according to a conduction path of the to-be-tested circuit and the gate voltage of the transistor device; obtaining a second node voltage of each second node according to the conduction path, the endpoint voltages, and the first node voltage; and performing circuit static check on the to-be-tested circuit by applying the first node voltage and the second node voltage.
    Type: Grant
    Filed: December 17, 2020
    Date of Patent: April 16, 2024
    Assignee: REALTEK SEMICONDUCTOR CORP.
    Inventors: Yun-Jing Lin, Meng-Jung Lee, Yu-Lan Lo, Shu-Yi Kao
  • Patent number: 11907628
    Abstract: A computer design verification system comprising a parsing module configured to receive output messages from a computer design testing tool and to compose from the output messages formatted objects comprising a set of fields having field descriptors and test values; a signoff module holding a plurality of signoff objects, each comprising a plurality of fields having a field descriptor, at least some fields populated with a signoff expression, each signoff object associated with a severity level indicative of the severity of a condition represented by the signoff object. The signoff module is configured compare at least one test value in the formatted objects received from the parsing module with at least one signoff expression in the signoff objects to determine if a signoff object matches the formatted object, and in the case of a match, associating the severity level of the signoff object with the formatted object.
    Type: Grant
    Filed: May 21, 2021
    Date of Patent: February 20, 2024
    Assignee: GRAPHCORE LIMITED
    Inventors: James Pallister, William Keen, Richard Porter
  • Patent number: 11906584
    Abstract: A simulation method and system of verifying an operation of a semiconductor memory device of a memory module at a design level. The simulation method includes setting a configuration and an arrangement of a registered clock driver (RCD) and a configuration and an arrangement of first semiconductor memory devices to fourth semiconductor memory devices, on a printed circuit board (PCB) through a graphic user interface (GUI). When a RCD test execution command is applied through the GUI, executing a test program to apply control signals to control signal terminals of the PCB based on a command truth table, to compare the applied control signals and control signals output through first driver output terminals of the RCD, and to create an RCD test result. When the RCD operates normally, performing a test on the memory module.
    Type: Grant
    Filed: June 13, 2022
    Date of Patent: February 20, 2024
    Assignee: SAMSUNG ELECTRONICS CO., LTD.
    Inventor: Seaeun Park
  • Patent number: 11907724
    Abstract: A computer-implemented method includes assigning a first group of one or more units of an instruction pipeline of a processor as a frontend group and assigning a second group of the one or more units of the instruction pipeline of the processor as a backend group. A frontend logout is performed to transfer one or more trace records from the first group to a trace controller during an in-memory trace of an instruction. A backend logout is performed to transfer one or more trace records from the second group to the trace controller during the in-memory trace of the instruction. A next instruction is started in the first group of the instruction pipeline before the backend logout completes.
    Type: Grant
    Filed: February 4, 2022
    Date of Patent: February 20, 2024
    Assignee: International Business Machines Corporation
    Inventors: Lior Binyamini, Chung-Lung K. Shum, Ludmila Zernakov, Markus Kaltenbach, Jang-Soo Lee
  • Patent number: 11894135
    Abstract: The present invention provides a novel approach for storing, analyzing, and/or accessing biological data in a cloud computing environment. Sequence data generated by a particular sequencing device may be uploaded to the cloud computing environment during a sequencing run, which reduces the on-site storage needs for the sequence data. Analysis of the data may also be performed in the cloud computing environment, and the instructions for such analysis may be set at the originating sequencing device. The sequence data in the cloud computing environment may be shared according to permissions. Further, the sequence data may be modified or annotated by authorized secondary users.
    Type: Grant
    Filed: May 10, 2021
    Date of Patent: February 6, 2024
    Assignee: Illumina, Inc.
    Inventors: Alexander G Dickinson, Francisco Jose Garcia, Robert C. Kain, Scott D. Kahn, Andrew R. Nelson
  • Patent number: 11868693
    Abstract: This application discloses a computing system implementing a design verification tool to perform functional verification on a circuit design describing an electronic device and collect samples of performance data during the functional verification. The computing system can also include a performance visualization tool to generate a profile presentation based on the samples of performance data. The profile presentation, when displayed, can annunciate portions of the circuit design corresponding to at least one performance hotspot. The performance visualization tool can receive a data reduction request based on the performance hotspot annunciated by the profile presentation. The data reduction request can identify a subset of the performance data in the profile presentation. The performance visualization tool can generate a refined profile presentation based, at least in part, on the samples of performance data and the subset of the performance data identified in the data reduction request.
    Type: Grant
    Filed: April 21, 2021
    Date of Patent: January 9, 2024
    Assignee: Siemens Industry Software Inc.
    Inventors: Rohit Kumar Jain, David Lowder, James Insley, Srinivasa Cherukumilli
  • Patent number: 11861268
    Abstract: A method for auto-generating an AutoCAD drawing includes providing an interface for extracting only input data required for drawing equipment from strength calculation data, displaying all components and nozzles constituting the equipment and providing or correcting information thereon. The AutoCAD drawing is automatically generated based on information on all components constituting the equipment and nozzles.
    Type: Grant
    Filed: June 10, 2021
    Date of Patent: January 2, 2024
    Assignees: SAMSUNG ENGINEERING CO., LTD.
    Inventors: Gyun Ho Ha, Hyun Suk Lee, Young Sik Ji, Yun Ha Shin, Geun Yong Choi, Do Young Park, Sung Jin Moon, Won Seok Choi, Ji Yoon Hyun, Byueong Kook Cheo, Dae Seong Kim
  • Patent number: 11847393
    Abstract: A computing device, method and computer program product are provided in order to develop a system model. In a method, a simulation model is designed that is configured to digitally simulate a corresponding portion of a system. The method also includes associating a simulation assessment module with the simulation model. The simulation assessment module is configured to verify one or more signals propagating within the simulation model. In an instance in which the simulation assessment module has verified the one or more signals, the method includes performing a unit test upon the simulation model to confirm proper operation of the simulation model. In an instance in which the unit test is successful, the method includes integrating a plurality of simulation models to form the system model.
    Type: Grant
    Filed: December 16, 2021
    Date of Patent: December 19, 2023
    Assignee: THE BOEING COMPANY
    Inventors: Bruno J. Correia Grácio, Daniel Ramiro Rebollo, Pieter Van Gils
  • Patent number: 11836430
    Abstract: Proposed by the present disclosure are an FPGA-based resequencing analysis method and device, wherein the method comprises: receiving genomic resequencing data; using the resequencing data as an input of an FPGA, determining a comparison result in the resequencing process according to an output of the FPGA, and simultaneously performing sorting and deduplication processing on the comparison result; correcting a base quality value of the comparison result after sorting and deduplication processing; and detecting a mutation result according to the corrected comparison result. The described method may save program running time, save calculation costs, and improve resequencing efficiency.
    Type: Grant
    Filed: October 23, 2018
    Date of Patent: December 5, 2023
    Assignee: MGI TECH CO., LTD.
    Inventors: Yinlong Xie, Weihua Huang, Chen Chen, Jingbo Tang
  • Patent number: 11803456
    Abstract: Methods and computing devices for allocating test pods to a distributed computing system for executing a test plan on a device-under-test (DUT). Each test pod may include a test microservice including one or more test steps and an event microservice specifying function relations between the test microservice and other test microservices. The test pods are allocated to different servers to perform a distributed execution of the test plan on the DUT through one or more test interfaces.
    Type: Grant
    Filed: September 1, 2021
    Date of Patent: October 31, 2023
    Assignee: National Instruments Corporation
    Inventors: Sundeep Chandhoke, Gururaja Kasanadi Ramachandra, Rajaramm Chokkalingam Malarvizhy, Varun Mehra, Bjoern Bachmann
  • Patent number: 11797714
    Abstract: Security measures for signal paths with tree structures can be implemented at design phase using an EDA software program or tool with security feature functionality that, when executed by a computing system, directs the computing system to: display a canvas through which components of a circuit are arranged; and provide a menu of commands, including an option to add components from a library to the canvas and an option to secure a tree. In response to receiving a selection of the option to secure the tree, the system can be directed to add a hardware countermeasure coupled to at least two lines or terminal nodes of a tree structure identified from components on the canvas or in a netlist corresponding to a circuit's design.
    Type: Grant
    Filed: December 20, 2019
    Date of Patent: October 24, 2023
    Assignee: ARM LIMITED
    Inventors: Michael Weiner, Robert John Harrison, Oded Golombek, Yoav Asher Levy
  • Patent number: 11798212
    Abstract: A method is provided for placing a first content and a second content on a display screen at a distance D along a Y-axis in an orthogonal coordinate system formed by an X-axis and the Y-axis. The method includes identifying, in the first content, a first non-display-target region which is located on the second content side, identifying, in the second content, a second non-display-target region which is located on the first content side, calculating a plurality of total widths each of which is a sum of a width of the first non-display-target region in a direction of the Y-axis and a width of the second non-display-target region in the direction of the Y-axis, the widths being at a same X-coordinate, and determining the distance D based on the plurality of total widths and a predetermined reference.
    Type: Grant
    Filed: May 25, 2022
    Date of Patent: October 24, 2023
    Assignee: CELSYS, INC.
    Inventors: Akira Sawahata, Tomoaki Yokotsuka
  • Patent number: 11782834
    Abstract: In a network-on-chip (NoC) interconnect connected to one or more agents with multiple input ports, one or more switches are provided with a round robin arbiter constructed to use representations of the input ports and, in some embodiments, the current round robin state, as thermometer codes. By using thermometer code to represent port information, the correspondence to the current input and the current state to be granted can be rapidly determined through a simple two-step AND and XOR operations. With such a simple logical procedure, the number of steps to make the determination, and therefore the energy required, can be reduced by log 2(n) steps or up to 43%. Using thermometer code reduces the number of computations required. Hence, the number of logic circuit elements required to carry out the calculation is reduced, shrinking the floorplan area needed for the arbiter.
    Type: Grant
    Filed: March 11, 2022
    Date of Patent: October 10, 2023
    Inventor: Boon Chuan
  • Patent number: 11775714
    Abstract: A robust predictive model. A plurality of different predictive models for a target feature are run, and a comparative analysis provided for each predictive model that meet minimum performance criteria for the target feature. One of the predictive models is selected, either manually or automatically, based on predefined criteria. For semi-automatic selection, a static or dynamic survey is generated for obtaining user preferences for parameters associated with the target feature. The survey results will be used to generate a model that illustrates parameter trade-offs, which will be used to finalize the optimal predictive model for the user.
    Type: Grant
    Filed: June 4, 2021
    Date of Patent: October 3, 2023
    Assignee: PDF Solutions, Inc.
    Inventors: Tomonori Honda, Lin Lee Cheong, Lakshmikar Kuravi, Bogdan Cirlig
  • Patent number: 11766575
    Abstract: A method enables testing and evaluation of an expert human reviewer or an artificial intelligence (AI) error detection engine associated with a radiotherapy treatment planning process. Intentional errors are introduced into the output of a software module or AI engine that performs a certain step in the radiotherapy treatment planning process. The efficacy of the human or AI reviewer in detecting errors can then be evaluated or tested by determining whether the human or AI reviewer has detected the introduced error.
    Type: Grant
    Filed: June 24, 2019
    Date of Patent: September 26, 2023
    Assignee: VARIAN MEDICAL SYSTEMS, INC.
    Inventor: Charles Adelsheim
  • Patent number: 11762017
    Abstract: A system for performing a scan test of a processor core includes a scan test module and a processor including a processor core and an input/output die, where the input/output die is coupled to the processor core. The scan test module transmits, in parallel to the input/output die, scan test input data. A serializer/deserializer module of the input/output die receives the input data, serializes the input data, and transmits the serialized input data to the processor core. A serializer/deserializer module of the processor core receives the serialized scan test input data, deserializes the input data, receives result data generated in dependence upon the input data, serializes the result data, and transmits the serialized result data to the input/output die. The input/output die serializer/deserializer module receives the result data, deserializes the result data, and provides the result data to the scan test module. Error detection can be carried out through redundancy.
    Type: Grant
    Filed: November 22, 2021
    Date of Patent: September 19, 2023
    Assignee: ADVANCED MICRO DEVICES, INC.
    Inventors: Ahmet Tokuz, Saurabh Upadhyay
  • Patent number: 11763056
    Abstract: A method of simulating defects in an analog circuit design includes, in part, defining a multitude of defect models, defining a defect scope associated with the defect models, and compiling, by a processor, the defect models, the defect scope, and a netlist associated with the analog circuit design. The method further includes, in part, scanning the netlist to identify a multitude of nodes to which a multitude of defects defined by the defect models and the defect scope are applied, injecting the multitude of defects at the identified nodes, and simulating the analog circuit design using the injected defects.
    Type: Grant
    Filed: April 20, 2021
    Date of Patent: September 19, 2023
    Assignee: Synopsys, Inc.
    Inventors: Mayukh Bhattacharya, Michael Durr, Mira Tzakova, Beatrice Solignac, Rayson Yam
  • Patent number: 11763181
    Abstract: A semiconductor metrology system including a spectrum acquisition tool for collecting, using a first measurement protocol, baseline scatterometric spectra on first semiconductor wafer targets, and for various sources of spectral variability, variability sets of scatterometric spectra on second semiconductor wafer targets, the variability sets embodying the spectral variability, a reference metrology tool for collecting, using a second measurement protocol, parameter values of the first semiconductor wafer targets, and a training unit for training, using the collected spectra and values, a prediction model using machine learning and minimizing an associated loss function incorporating spectral variability terms, the prediction model for predicting values for production semiconductor wafer targets based on their spectra.
    Type: Grant
    Filed: August 12, 2021
    Date of Patent: September 19, 2023
    Assignee: NOVA LTD
    Inventors: Eitan Rothstein, Ilya Rubinovich, Noam Tal, Barak Bringoltz, Yongha Kim, Ariel Broitman, Oded Cohen, Eylon Rabinovich, Tal Zaharoni, Shay Yogev, Daniel Kandel
  • Patent number: 11733295
    Abstract: A method, computer program product, and/or system is disclosed for testing integrated circuits, e.g., processors, that includes: generating a software design prototype of the functional behavior of an integrated circuit to be tested; creating a lab All-Events-Trace (AET) normalized model of the integrated circuit, wherein the normalized model captures the functions of the integrated circuit and not the non-functional aspects of the integrated circuit; generating a lab scenario using the software design prototype and the AET normalized model of the integrated circuit for a particular cycle of interest, wherein the lab scenario contains initialization for all signals that have hardware information; and generating a replayed lab normalized AET for the particular cycle of interest.
    Type: Grant
    Filed: September 13, 2021
    Date of Patent: August 22, 2023
    Assignee: International Business Machines Corporation
    Inventors: Arun Joseph, Wolfgang Roesner, Viresh Paruthi, Shiladitya Ghosh, Spandana Venkata Rachamalla
  • Patent number: 11734480
    Abstract: Embodiments described herein are directed to a microarchitecture modeling tool configured to model and analyze a microarchitecture using a dependency graph. The dependency graph may be generated based on an execution trace of a program and a microarchitecture definition that specifies various features and/or characteristics of the microarchitecture on which the execution trace is based. The dependency graph includes vertices representing different microarchitectural events. The vertices are coupled via edges representing a particular dependency therebetween. The edges are associated with a cost for performing microarchitectural event(s) corresponding to the vertices coupled thereto. The dependency graph also takes into account various policies for structural hazards of the microarchitecture. The microarchitecture modeling tool analyzes the costs associated with each of the edges to determine a design metric of the microarchitecture.
    Type: Grant
    Filed: December 18, 2018
    Date of Patent: August 22, 2023
    Assignee: MICROSOFT TECHNOLOGY LICENSING, LLC
    Inventors: Gagan Gupta, Rathijit Sen, Hossein Golestani
  • Patent number: 11714949
    Abstract: A method includes: identifying attributes that are associated with cell edges of abutted cells in a layout of a semiconductor device, wherein the attributes include at least one of terminal types of the cell edges; determining at least one minimal boundary leakage of the abutted cells based on the attributes, for adjustment of the layout of the semiconductor device. A system is also disclosed herein.
    Type: Grant
    Filed: May 7, 2021
    Date of Patent: August 1, 2023
    Assignee: TAIWAN SEMICONDUCTOR MANUFACTURING CO., LTD.
    Inventors: Cheng-Hua Liu, Yun-Xiang Lin, Yuan-Te Hou, Chung-Hsing Wang
  • Patent number: 11709983
    Abstract: Analysis of power supply noise in simulations of a design of a circuit can use per instance dynamic voltage drops (DVD) in timing analyses so that the simulated DVD values on a per victim cell basis can accurately guide the timing analysis on each victim instead of a global DVD for all victims during the timing analysis. In one embodiment, a method can: determine, during a power analysis simulation, a representation of an energy lost, during each switching window at each output of each victim cell, at one or more power supply rails of each of the victim cells in the set of victim cells due to aggressors in the design; and provide the representation of the energy lost separately for each victim cell to a timing analysis system. The representation can be a rectangle having a width defined by a switching window of a victim's output.
    Type: Grant
    Filed: May 10, 2021
    Date of Patent: July 25, 2023
    Assignee: ANSYS, INC.
    Inventors: Qian Shen, Sankar Ramachandran, Joao Geada, Scott Johnson, Anusha Gummana
  • Patent number: 11704461
    Abstract: Embodiments include dynamic control of coverage by a verification testbench. Aspects include obtaining a design under test to be verified by the verification testbench and obtaining one or more testcases for execution by the verification testbench on the design under test. Aspects also include obtaining a plurality of triggers corresponding to the design under test, wherein each of the plurality of triggers includes an activation condition, a deactivation condition and a coverage. Aspects further include simulating, by the verification testbench, execution of the one or more testcases by the design under test. Based on detecting the activation condition of one of the plurality of triggers, aspects also include recording, in a coverage database, data specified in the coverage corresponding the one of the plurality of triggers until the deactivation condition is detected.
    Type: Grant
    Filed: January 4, 2022
    Date of Patent: July 18, 2023
    Assignee: International Business Machines Corporation
    Inventors: Swathi Priya S, Sandeep Korrapati, Pretty Mariam Jacob, Anusha Reddy Rangareddygari, Puli Srivani, sreekanth reddy Kadapala
  • Patent number: 11694010
    Abstract: A method includes identifying state holding pipeline stages in a pipeline path of a design for test (DFT) of an integrated circuit design, splitting each pattern of a plurality of patterns into a first part and a second part, reformatting the plurality of patterns to generate another plurality of patterns such that the first part and the second part of each pattern of the plurality patterns are included in different patterns of the another plurality of patterns. The length of the first part is a function of a number of the identified pipeline stages.
    Type: Grant
    Filed: November 3, 2021
    Date of Patent: July 4, 2023
    Assignee: Synopsys, Inc.
    Inventors: Amit Gopal M. Purohit, Sorin Ioan Popa, Denis Martin, Paras Chhabra
  • Patent number: 11681848
    Abstract: On-the-fly multi-bit flip-flop (MBFF) generation is provided by selecting at least two flip-flop blocks from a plurality of candidate flip-flop blocks; identifying a control block from a plurality of candidate control blocks, the control block being identified based on operational specifications of the selected flip-flop blocks; and generating a multi-bit flip-flop instance based on the selected flip-flop blocks and the identified control block.
    Type: Grant
    Filed: May 12, 2021
    Date of Patent: June 20, 2023
    Assignee: Synopsys, Inc.
    Inventors: Deepak D. Sherlekar, Basannagouda Reddy, Shanie George
  • Patent number: 11675953
    Abstract: A method includes cropping a plurality of images from a layout of an integrated circuit, generating a first plurality of hash values, each from one of the plurality of images, loading a second plurality of hash values stored in a hotspot library, and comparing each of the first plurality of hash values with each of the second plurality of hash values. The step of comparing includes calculating a similarity value between the each of the first plurality of hash values and the each of the second plurality of hash values. The method further includes comparing the similarity value with a pre-determined threshold similarity value, and in response to a result that the similarity value is greater than the pre-determined threshold similarity value, recording a position of a corresponding image that has the result. The position is the position of the corresponding image in the layout.
    Type: Grant
    Filed: July 26, 2022
    Date of Patent: June 13, 2023
    Assignee: TAIWAN SEMICONDUCTOR MANUFACTURING CO., LTD.
    Inventors: I-Shuo Liu, Chih-Chun Hsia, Hsin-Ting Chou, Kuanhua Su, William Weilun Hong, Chih Hung Chen, Kei-Wei Chen
  • Patent number: 11663388
    Abstract: Methods, systems and media for simulating or analyzing voltage drops in a power distribution network can use an incremental approach to define a portion of a design around a victim to capture a sufficient collection of aggressors that cause appreciable voltage drop on the victim, and then an incremental simulation of just the portion can be performed rather than computing simulated voltage drops across the entire design. This approach can be both computationally efficient and can limit the size of the data used in simulating dynamic voltage drops in the power distribution network. Multiple different portions can be simulated separately in separate processing cores or elements. In one embodiment, a system can provide options of user selected constraints for the simulation to provide better accuracy or use less memory. Better accuracy will normally use a larger set of aggressors for each victim at the expense of using more memory.
    Type: Grant
    Filed: November 18, 2020
    Date of Patent: May 30, 2023
    Assignee: ANSYS, INC.
    Inventors: Altan Odabasi, Scott Johnson, Emrah Acar, Joao Geada
  • Patent number: 11663383
    Abstract: A method for simulating a circuit represented by a top circuit and a plurality of subcircuit instances (SCIs) forming a hierarchy under the top circuit. The method comprises, during an iteration round of one or more iteration rounds, obtaining respective circuit equation parameters for each respective SCI of the plurality of SCIs in a bottom-up process, in which at least some of the circuit equation parameters for a parent SCI are obtained using a portion of the circuit equation parameters for a child SCI of the parent SCI. The method further comprises determining respective signal values of each respective SCI of the plurality of SCIs in a top-down process, where, for each child SCI having internal nets, signal values at internal nets of the child SCI are obtained using one or more signal values determined for a parent SCI and corresponding to one or more signal values at external ports of the child SCI.
    Type: Grant
    Filed: July 26, 2021
    Date of Patent: May 30, 2023
    Assignee: ICEE Solutions LLC.
    Inventor: Henry Hongwei Cao
  • Patent number: 11658509
    Abstract: The control circuit includes a signal indication circuit, a control/isolation circuit, and an energy storage circuit; the signal indication circuit is a logic AND gate circuit, has an input end connected to multiple paths of power-down monitoring signals and an output end connected to an input end of the control/isolation circuit, and when a level of any path of power-down monitoring signal is low, outputs a low level to the control/isolation circuit; an output end of the control/isolation circuit is connected to an enable end of a Direct Current (DC) power chip, and the control/isolation circuit controls and isolates an output signal of the signal indication circuit by means of two-stage Metal-Oxide-Semiconductor (MOS) transistors; and an output end of the energy storage circuit is connected to the control/isolation circuit to provide reserve power for operation of the MOS transistors of the control/isolation circuit.
    Type: Grant
    Filed: February 19, 2021
    Date of Patent: May 23, 2023
    Assignee: INSPUR SUZHOU INTELLIGENT TECHNOLOGY CO., LTD.
    Inventor: Songtao Zhang
  • Patent number: 11656267
    Abstract: A method of characterizing a field-effect transistor, including: a step of application, to the transistor gate, of a single voltage ramp; and a step of interpretation both of gate capacitance variations and of drain current variations of the transistor.
    Type: Grant
    Filed: March 30, 2021
    Date of Patent: May 23, 2023
    Assignee: Commissariat à l'Énergie Atomique et aux Énergies Alternatives
    Inventors: Abygael Viey, William Vandendaele, Jacques Cluzel, Jean Coignus
  • Patent number: 11651134
    Abstract: A method includes specifying a target memory macro, and determining failure rates of function-blocks in the target memory macro based on an amount of transistors and area distributions in a collection of base cells. The method also includes determining a safety level of the target memory macro, based upon a failure-mode analysis of the target memory macro, from a memory compiler, based on the determined failure rate.
    Type: Grant
    Filed: May 28, 2021
    Date of Patent: May 16, 2023
    Assignees: TAIWAN SEMICONDUCTOR MANUFACTURING CO., LTD., TSMC CHINA COMPANY, LIMITED
    Inventors: Ching-Wei Wu, Ming-En Bu, He-Zhou Wan, Hidehiro Fujiwara, Xiu-Li Yang
  • Patent number: 11630938
    Abstract: Various embodiments provide for failure mode analysis of a circuit design, which can be used as part of electronic design automation (EDA). In particular, some embodiments provide for failure mode analysis of a circuit design by determining a set of functional primitives of a circuit design component (e.g., cell at gate level) that contribute to a root cause logic for a specific failure mode.
    Type: Grant
    Filed: November 4, 2019
    Date of Patent: April 18, 2023
    Assignee: Cadence Design Systems, Inc.
    Inventors: Stefano Lorenzini, Antonino Armato
  • Patent number: 11630934
    Abstract: Systems and methods for integrated circuit (IC) analysis using a multi-level data hierarchy implemented on a distributed compute and data infrastructure are described. An IC design may be represented using a set of storage areas, where each storage area may be stored in a contiguous block of storage and may correspond to a portion of the IC design. An analysis application may be executed on the IC design, where a subset of the set of storage areas that is used by the analysis application may be retrieved on-demand.
    Type: Grant
    Filed: February 12, 2021
    Date of Patent: April 18, 2023
    Assignee: Synopsys, Inc.
    Inventors: Jayanta Roy, Ajay Singh Bisht, Mark William Brown, Arney Deshpande, Yibing Wang, Ramakrishnan Balasubramanian
  • Patent number: 11599702
    Abstract: An excitation source planning method for an electrical stimulation is proposed to plan an excitation source. A layout importing step is performed to drive a processing unit to import a PCB layout to an electromagnetic simulation software module. A port establishing step is performed to set the excitation source to be vertically disposed between a signal layer and a main ground layer. A model generating step is performed to perform the electrical simulation according to the excitation source to generate a three-dimensional simulation model corresponding to the PCB layout. When the signal layer is not electrically connected to the main ground layer, the electromagnetic simulation software module executes an extending step. The extending step is performed to provide a first metal unit to be connected to the signal layer, and reset the excitation source to be vertically disposed between the first metal unit and the main ground layer.
    Type: Grant
    Filed: April 15, 2021
    Date of Patent: March 7, 2023
    Assignee: Universal Scientific Industrial (Shanghai) Co., Ltd.
    Inventors: Wei-Yuan Lin, Ji-Min Lin
  • Patent number: 11593126
    Abstract: Implementing a design for a heterogeneous device can include mapping, using computer hardware, a plurality of applications of a design for a device to a plurality of domains of the device, wherein each domain includes a different compute unit, performing, using the computer hardware, validity checking on the plurality of applications, detecting, using the computer hardware, a conflict between two or more of the plurality of applications from the validity checking, and, in response to the detecting, generating a notification of the conflict using the computer hardware. Operations such as automatically generating a boot image, debugging, and/or performing system level performance analysis may also be performed.
    Type: Grant
    Filed: July 14, 2020
    Date of Patent: February 28, 2023
    Assignee: Xilinx, Inc.
    Inventors: Sai Kiran Y Ganesh, Devi Vara Prasad Bandaru, Chaitanya Kamarapu, Vijaya Raghava Rao Dasyam, Appa Rao Nali, Vidhumouli Hunsigida
  • Patent number: 11581056
    Abstract: Embodiments of the disclosure are drawn to apparatuses, systems, and methods for direct access hybrid testing. A memory device, such as a high bandwidth memory (HBM) may include direct access terminals. During a testing procedure, test instructions may be provided to the memory through the direct access terminals. The test instructions include a data pointer which is associated with one of a plurality of test patterns pre-loaded in the memory and an address. The selected test pattern may be written to, and subsequently read from, the memory cells associated with the address. The read test pattern may be compared to the selected test pattern to generate result information. The test patterns may be loaded to the memory, and the result information may be read out from the memory, in an operational mode different than the operational mode in which the test instructions are provided.
    Type: Grant
    Filed: December 16, 2020
    Date of Patent: February 14, 2023
    Assignee: Micron Technology, Inc.
    Inventors: Chiaki Dono, Chikara Kondo, Roman A. Royer
  • Patent number: 11575387
    Abstract: An encode apparatus and an encode method may be provided. The encoding apparatus may comprise a first stage and a second stage. The first stage may be configured to receive a first input, decode the first input, and produce a first output comprising the decoded first input. The second stage may be configured to receive a second input, receive the first output from the first stage, and convert the first input and the second input from a first coding system to a second coding system based on the second input and the first output. The second stage may produce a second output comprising the converted first input and the converted second input.
    Type: Grant
    Filed: December 10, 2019
    Date of Patent: February 7, 2023
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Win-San Khwa, Hiroki Noguchi, Ku-Feng Lin
  • Patent number: 11575450
    Abstract: Methods and systems for automated testing of extremely-high frequency devices are disclosed. A device under test (DUT) is set in a simultaneous transmit and receive mode. The DUT receives a lower frequency radio frequency (RF) signal from a test unit and up-converts the lower frequency RF signal to a higher frequency RF signal. The DUT transmits the higher frequency RF signal using a first antenna, and receives the higher frequency RF signal using a second antenna. The DUT down-converts the received higher frequency RF signal to a received test RF signal and provides the received test RF signal to the test unit for comparing measurements derived from the received test signal to a design specification for the DUT.
    Type: Grant
    Filed: October 24, 2019
    Date of Patent: February 7, 2023
    Assignee: QUALCOMM Incorporated
    Inventors: Gaurav Verma, David Collins, Ryan Wendlandt, Prachi Deshpande, Gaurav Singhania, Karthik Moncombu Ramakrishnan, Jeffrey Carr, Anushruti Bhattacharya, Dennis Feenaghty
  • Patent number: 11567126
    Abstract: Methods and systems for performing fault injection testing on an integrated circuit hardware design.
    Type: Grant
    Filed: July 30, 2021
    Date of Patent: January 31, 2023
    Assignee: Imagination Technologies Limited
    Inventors: Reinald Cruz, Habeeb Quazi
  • Patent number: 11550981
    Abstract: This application discloses a distributed computing system implementing multiple participating processes to separately compile different portions of a circuit design describing an electronic device over multiple phases. The distributed computing system can implement a management process to utilize a synchronization protocol to identify operational states of the participating processes during compilation of the different portions of the circuit design, maintain the operational states of the participating processes, and separately determine when the participating processes have completed compilation of the circuit design portions for one of the phases based on the operational states of the participating processes.
    Type: Grant
    Filed: August 31, 2020
    Date of Patent: January 10, 2023
    Assignee: Siemens Industry Software Inc.
    Inventors: Vipul Kulshrestha, Amit Agrawal
  • Patent number: 11550984
    Abstract: A method for analyzing an analog circuit controlled by a plurality of digital inputs is presented. The circuit is represented with a data structure with nodes connected via edges, which represent a circuit component. The data structure can be traversed across all connected nodes; and said digital inputs can be toggled between two or more input states. The method steps include identifying a set of boundary nodes in the data structure which are at a digital-analog boundary of the data structure; for each digital input, identifying associated boundary nodes which are coupled with the digital input; grouping digital inputs into input sets, where each of the different input sets are associated with mutually exclusive sets of associated boundary nodes, and analyzing the circuit by successively analyzing one or more of the input sets for all possible combinations of inputs states within that set.
    Type: Grant
    Filed: October 16, 2020
    Date of Patent: January 10, 2023
    Assignee: Dialog Semiconductor (UK) Limited
    Inventors: Indrajit Manna, Russell Christopher Giles, Peter Robert Bell
  • Patent number: 11493971
    Abstract: A method of power test analysis for an integrated circuit design including loading test vectors into a first sequence of flip-flops in scan mode, evaluating the test vectors and saving results of the evaluating in a second sequence of flip-flops in scan mode, reading results out of the second sequence of flip-flops to a scan chain, and calculating power generation based on the results. In one embodiment, the test vectors are received from an automatic test pattern generator.
    Type: Grant
    Filed: April 26, 2021
    Date of Patent: November 8, 2022
    Assignee: Synopsys, Inc.
    Inventors: Alexander John Wakefield, Khader Abdel-Hafez
  • Patent number: 11487927
    Abstract: A system having design tools and methods for using the same in designing an integrated circuit (IC) are described.
    Type: Grant
    Filed: October 12, 2020
    Date of Patent: November 1, 2022
    Assignee: EFINIX, INC.
    Inventor: James Schleicher
  • Patent number: 11476278
    Abstract: An IC is provided. The IC includes a plurality of a plurality of P-type fin field-effect transistors (FinFETs). The P-type FinFETs includes at least one first P-type FinFET and at least one second P-type FinFET. Source/drain regions of the first P-type FinFET have a first depth, and source/drain regions of the second P-type FinFET have a second depth that is different from the first depth. A first semiconductor fin of the first P-type FinFET includes a first portion and a second portion that are formed by different materials, and the second portion of the first semiconductor fin has a third depth that is greater than the first depth.
    Type: Grant
    Filed: November 2, 2020
    Date of Patent: October 18, 2022
    Assignee: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD.
    Inventor: Jhon-Jhy Liaw
  • Patent number: 11468219
    Abstract: A Toffoli magic state to be injected in preparation of a Toffoli gate may be prepared using a bottom-up approach. In the bottom-up approach, computational basis states are prepared in a fault tolerant manner using a STOP algorithm. The computational basis states are further used to prepare the Toffoli magic state. The STOP algorithm tracks syndrome outcomes and can be used to determine when to stop repeating syndrome measurements such that faults are guaranteed to be below a threshold level. Also, the STOP algorithm may be used in growing repetition code from a first code distance to a second code distance, such as for use in the computational basis states.
    Type: Grant
    Filed: November 13, 2020
    Date of Patent: October 11, 2022
    Assignee: Amazon Technologies, Inc.
    Inventors: Christopher Chamberland, Fernando Brandao, Earl Campbell
  • Patent number: 11449323
    Abstract: A database stored electrical signatures of mounting points for generic modules within a vehicle model. Software for programming each mounting point is mapped to the mounting points. For a production unit of the vehicle model, generic modules are placed at the mounting points without being programmed to perform a specific function. The generic modules measure the electrical signature of the mounting point at which they are mounted. The generic modules then coordinate with a server to identify a matching electrical signature in the database and programming the generic modules with corresponding software for performing specific functions.
    Type: Grant
    Filed: October 15, 2018
    Date of Patent: September 20, 2022
    Assignee: Ford Global Technologies, LLC
    Inventor: Nelson Brock
  • Patent number: 11442108
    Abstract: A circuit includes: a first power domain including: an isolation cell, a first selection circuit having inputs for receiving a first functional signal and a first test signal and an output for controlling the isolation cell, and a second selection circuit having inputs for receiving a second functional signal and a second test signal and an output coupled to a signal input of the isolation cell; a second power domain including: a first circuit having an input coupled to a signal output of the isolation cell, a first observation element coupled to the signal output of the isolation cell, and a second observation element coupled to an output of the first circuit; where, when in test mode, the first selection circuit controls the isolation cell based on the first test signal, and the second selection circuit provides the second test signal to the signal input of the isolation cell.
    Type: Grant
    Filed: September 16, 2021
    Date of Patent: September 13, 2022
    Assignee: STMicroelectronics International N.V.
    Inventors: Venkata Narayanan Srinivasan, Gourav Garg, Dhulipalla Phaneendra Kumar
  • Patent number: 11429169
    Abstract: A circuit comprising a first processing element having a first output configured to couple to a voltage control circuit, a second output configured to couple to a gate terminal of a first transistor, and a third output configured to couple to a first node and a control circuit. The control circuit comprises a second processing element having multiple outputs, a second transistor having a gate terminal configured to couple to one of the outputs of the second processing element, a first terminal configured to couple to a second node and to a drain terminal of the first transistor, and a second terminal, and a third transistor having a gate terminal configured to couple to a second of the outputs of the second processing element, a first terminal configured to couple to a third node, and a second terminal.
    Type: Grant
    Filed: April 1, 2021
    Date of Patent: August 30, 2022
    Assignee: TEXAS INSTRUMENTS INCORPORATED
    Inventor: Deric Wayne Waters