Manufacturing Optimizations Patents (Class 716/54)
  • Patent number: 8141008
    Abstract: A apparatus and method for correcting a process critical layout includes characterizing the influence of individual ones of a set of worst case process variations on a simulated nano-circuit layout design and then correcting layout geometries in the simulated nano-circuit layout based on such characterizations.
    Type: Grant
    Filed: December 17, 2010
    Date of Patent: March 20, 2012
    Assignee: Cadence Design Systems, Inc.
    Inventor: Franz Xaver Zach
  • Patent number: 8136077
    Abstract: Techniques for timing-optimal placement, pin assignment, and routing for integrated circuits are described herein. According to one embodiment, a list of paths providing implementation possibilities is constructed. A means is provided for removing paths from the list as well as a means for committing paths to the implementation if such paths are required for making the circuit implementation valid. Paths with worst case attributes are iteratively removed from the list until all paths in the list are committed to the implementation. Other methods and apparatuses are also described.
    Type: Grant
    Filed: April 30, 2009
    Date of Patent: March 13, 2012
    Assignee: Synopsys, Inc.
    Inventors: Larry E. McMurchie, Kenneth S. McElvain, Kenneth R. McElvain
  • Patent number: 8136056
    Abstract: Methods and systems for representing the limitations of a lithographic process using a pattern library instead of, or in addition to, using design rules. The pattern library includes “known good” patterns, which chip fabricators know from experience are successful, and “known bad” patterns, which chip fabricators know to be unsuccessful. The pattern library can be used to contain exceptions to specified design rules, or to replace the design rules completely. In some implementations, the pattern library contains statistical information that is used to contribute to an overall figure of merit for the design. In other implementations, a routing tool may generate a plurality of possible IC layouts, and select one IC layout based on information contained in the pattern library.
    Type: Grant
    Filed: May 19, 2006
    Date of Patent: March 13, 2012
    Assignee: Cadence Design Systems, Inc.
    Inventors: Louis K. Scheffer, David C. Noice
  • Patent number: 8132130
    Abstract: A method for forming exposure masks for imaging a target pattern having features to be imaged on a substrate in a multi-exposure process. The method includes the steps of generating a set of decomposition rules defining whether a given feature of the target pattern is assigned to a first exposure mask or a second exposure mask; applying the decomposition rules to each of the features in the target pattern so as to assign each of the features in the target pattern to one of the first exposure mask or second exposure mask; and generating the first exposure mask and the second exposure mask containing the respective features assigned to each mask.
    Type: Grant
    Filed: June 22, 2006
    Date of Patent: March 6, 2012
    Assignee: ASML Masktools B.V.
    Inventors: Jang Fung Chen, Duan-Fu Stephen Hsu, Douglas Van Den Broeke, Thomas Laidig
  • Patent number: 8127257
    Abstract: In a method of designing a photo-mask, a graphic pattern as a target of development simulation is divided into a plurality of sub graphic patterns which are respectively assigned with a plurality of orthogonal coordinate systems which are not orthogonal to each other. A model-based OPC (optical proximity correction) is performed on each of the plurality of sub graphic patterns by moving sides of the sub graphic pattern in directions parallel to coordinate axes of the orthogonal coordinate system assigned to the sub graphic pattern.
    Type: Grant
    Filed: February 26, 2009
    Date of Patent: February 28, 2012
    Assignee: Renesas Electronics Corporation
    Inventor: Yukiya Kawakami
  • Patent number: 8122391
    Abstract: A method of generating complementary masks based on a target pattern having features to be imaged on a substrate for use in a multiple-exposure lithographic imaging process. The method includes the steps of: defining an initial H-mask corresponding to the target pattern; defining an initial V-mask corresponding to the target pattern; identifying horizontal critical features in the H-mask having a width which is less than a predetermined critical width; identifying vertical critical features in the V-mask having a width which is less than a predetermined critical width; assigning a first phase shift and a first percentage transmission to the horizontal critical features, which are to be formed in the H-mask; and assigning a second phase shift and a second percentage transmission to the vertical critical features, which are to be formed in the V-mask. The method further includes the step of assigning chrome to all non-critical features in the H-mask and the V-mask.
    Type: Grant
    Filed: January 21, 2010
    Date of Patent: February 21, 2012
    Assignee: ASML Masktools B.V.
    Inventors: Jang Fung Chen, Duan-Fu Stephen Hsu, Douglas Van Den Broeke
  • Patent number: 8122388
    Abstract: The present invention discloses a method of designing a set of two tiled masks, as well as, a mask including: a first tile, the first tile being transparent to a light, the first tile having a first characteristic linear dimension that is 15% or less of a wavelength of the light; a second tile, the second tile being transparent to the light, the second tile having a second characteristic linear dimension that is 15% or less of the wavelength of the light; and a third tile, the third tile being opaque to the light, the third tile having a third characteristic linear dimension that is 15% or less of the wavelength of the light.
    Type: Grant
    Filed: December 9, 2008
    Date of Patent: February 21, 2012
    Assignee: Intel Corporation
    Inventors: Bin Hu, Vivek Singh, Yan Borodovsky
  • Patent number: 8122387
    Abstract: A method of physical design for integrated circuit (IC) chip fabrication, physical design system and program product therefor. A design shape is fragmented into segments for Optical Proximity Correction (OPC) and a harmonic mean of the segments is determined. Electrical intent is determined for the shape and a harmonic mean is determined for the segments. Segments may be moved based on a effect on the harmonic mean from moving the segments, measured using a harmonic mean cost function. Finally segmented shapes are passed to OPC.
    Type: Grant
    Filed: June 11, 2009
    Date of Patent: February 21, 2012
    Assignee: International Business Macines Corporation
    Inventors: Geng Han, Fook-Luen Heng, Jin Fuw Lee, Chao Yi Tien, legal representative, Rama N. Singh
  • Patent number: 8122390
    Abstract: A charged particle beam writing apparatus which the apparatus includes a first area density calculation unit and a first dimension error calculation unit. The apparatus includes a first dimension calculation unit which calculates a second dimension of a pattern obtained by correcting the first dimension error of the first dimension, a second area density calculation unit which calculates a second area density occupied by the pattern of the second dimension in the predetermined region, a second dimension error calculation unit which calculates a second dimension error caused by the loading effect, a second dimension calculation unit which calculates a third dimension by adding the second dimension error to the second dimension, a judgment unit which judges whether a difference between the first dimension and the third dimension is within a predetermined range, and a writing unit which writes the pattern of the second dimension onto a target workpiece.
    Type: Grant
    Filed: February 11, 2009
    Date of Patent: February 21, 2012
    Assignee: NuFlare Technology, Inc.
    Inventors: Jun Yashima, Takayuki Abe
  • Patent number: 8122392
    Abstract: The present invention allows for a robust design using manufacturability models. A method, system and/or computer usable medium may be provided in an integrated circuit design to track sensitivity to a variation of process from wafer to wafer and/or fab to fab in order to assist the designers to anticipate the variations to improve the final yield of the products.
    Type: Grant
    Filed: June 26, 2008
    Date of Patent: February 21, 2012
    Assignee: Cadence Design Systems, Inc.
    Inventors: David White, Louis K. Scheffer
  • Patent number: 8122386
    Abstract: The load of OPC processing (especially, the load of bias processing) has been increasing due to optical effects involved in the placement of a dummy pattern. A pattern placement apparatus places dummy patterns in a layout region where a plurality of wiring patterns is placed. The pattern placement apparatus comprises: a placement region setting section that sets a placement region, where each of the dummy patterns should be placed, in an intermediate region between the adjacent wiring patterns at substantially constant intervals to the adjacent writing patterns; and a pattern placement section that places the dummy pattern in the placement region.
    Type: Grant
    Filed: August 12, 2008
    Date of Patent: February 21, 2012
    Assignee: Renesas Electronics Corporation
    Inventor: Naohiro Kobayashi
  • Patent number: 8117566
    Abstract: A mechanism to compress manufacturing awareness into a small representation and to enable the router to consult the representation without performing, or understanding, detailed process analysis, is disclosed.
    Type: Grant
    Filed: November 21, 2008
    Date of Patent: February 14, 2012
    Assignee: Cadence Design Systems, Inc.
    Inventor: Louis Scheffer
  • Publication number: 20120036487
    Abstract: A method, system, and computer usable program product for fracturing a continuous mask usable in photolithography are provided in the illustrative embodiments. A first origin point is selected from a set of points on an edge in the continuous mask. A first end point is identified on the edge such that a separation metric between the first origin point and the first end point is at least equal to a threshold value. Several alternatives are determined for fracturing using the first origin point and the first end point. A cost associated with each of the several alternatives is computed and one of the alternatives is selected as a preferred fracturing. Several pairs of origin points and end points are formed from the set of points. Each pair has a cost of a preferred fracturing between the pair. The continuous mask is fractured using a subset of the several pairs.
    Type: Application
    Filed: August 3, 2010
    Publication date: February 9, 2012
    Applicant: International Business Machines Corporation
    Inventors: YING LIU, David Osmond Melville, Alan E. Rosenbluth, Kehan Tian
  • Patent number: 8112726
    Abstract: The present invention discloses a method of designing a set of two tiled masks, as well as, a mask including: a first tile, the first tile being transparent to a light, the first tile having a first characteristic linear dimension that is 15% or less of a wavelength of the light; a second tile, the second tile being transparent to the light, the second tile having a second characteristic linear dimension that is 15% or less of the wavelength of the light; and a third tile, the third tile being opaque to the light, the third tile having a third characteristic linear dimension that is 15% or less of the wavelength of the light.
    Type: Grant
    Filed: December 9, 2008
    Date of Patent: February 7, 2012
    Assignee: Intel Corporation
    Inventors: Bin Hu, Vivek Singh, Yan Borodovsky
  • Patent number: 8112167
    Abstract: A process control method comprises adjusting a process condition in consideration of a performance variation among a plurality of manufacturing apparatuses, the performance variation affecting a finished shape of a pattern used to manufacture a semiconductor device, running a simulation of the finished shape under the adjusted process condition, extracting a dangerous point of the pattern affecting satisfaction from the result of the simulation, comparing a first process capability serving as a judgment standard to find whether a production schedule of the device is achieved with a second capability serving to form a dangerous pattern containing the dangerous point, and improving the second process when the second process capability is lower than the first process capability.
    Type: Grant
    Filed: January 15, 2009
    Date of Patent: February 7, 2012
    Assignee: Kabushiki Kaisha Toshiba
    Inventors: Ayako Endo, Kenji Yoshida, Toshiya Kotani, Satoshi Tanaka
  • Patent number: 8112734
    Abstract: A method incorporating adaptive body biasing into an integrated circuit design flow includes the steps of (A) adding adaptive body biasing input/outputs (I/Os) during a bonding layout stage of the integrated circuit design flow, (B) floorplanning the integrated circuit design, (C) generating an adaptive body biasing mesh and (D) generating a layout of the integrated circuit design based upon a plurality of adaptive body biasing corners.
    Type: Grant
    Filed: September 29, 2008
    Date of Patent: February 7, 2012
    Assignee: LSI Corporation
    Inventors: Benjamin Mbouombouo, Ramnath Venkatraman, Ruggero Castagnetti
  • Patent number: 8108805
    Abstract: The invention provides apparatus and methods for processing substrates using pooled statistically based variance data. The statistically based variance data can include Pooled Polymer De-protection Variance (PPDV) data that can be used to determine micro-bridging defect data, LER defect data, and LWR defect data.
    Type: Grant
    Filed: March 26, 2010
    Date of Patent: January 31, 2012
    Assignee: Tokyo Electron Limited
    Inventor: Benjamen M Rathsack
  • Patent number: 8108803
    Abstract: A method of failure detection of an integrated circuit (IC) layout includes determining a critical path distance between a first geometric feature of the IC layout and a second geometric feature of the IC layout; and comparing the determined critical path distance to a defined minimum critical path distance between the first and second geometric features, wherein the defined minimum critical path distance corresponds to a desired electrical property of the IC layout, independent of any geometric-based ground rule minimum distance for the IC layout; identifying any determined critical path distances that are less than the defined minimum critical path distance as a design violation; and modifying the IC layout by eliminating the identified design violations.
    Type: Grant
    Filed: October 22, 2009
    Date of Patent: January 31, 2012
    Assignee: International Business Machines Corporation
    Inventors: Fook-Luen Heng, Xu Ouyang, Yunsheng Song, Yun-Yu Wang
  • Patent number: 8108802
    Abstract: A desired set of diffracted waves using mask features whose transmissions are chosen from a set of supported values are generated. A representation of the mask as a set of polygonal elements is created. Constraints which require that the ratio of the spatial frequencies in the representation take on the amplitude ratios of the desired set of diffracted waves are defined. An optimization algorithm is used to adjust the transmission discontinuities at the edges of the polygons to substantial equality with the discontinuity values allowed by the set of supported transmissions while maintaining the constraints.
    Type: Grant
    Filed: April 29, 2009
    Date of Patent: January 31, 2012
    Assignee: International Business Machines Corporation
    Inventors: Alan E. Rosenbluth, Jaione Tirapu-Azpiroz
  • Patent number: 8103985
    Abstract: Disclosed is a method, system, and computer program product for implementing controlled breaks using sub-resolution assist features. Sub-resolution bridging features are added to implement controlled breaks between features on the layout. The bridging features may also be used to facilitate or optimize multiple mask/exposure techniques that split a layout or features on a layout to address pitch problems.
    Type: Grant
    Filed: November 2, 2007
    Date of Patent: January 24, 2012
    Assignee: Cadence Design Systems, Inc.
    Inventor: Jason Sweis
  • Patent number: 8103984
    Abstract: According to various embodiments of the invention, systems and methods are provided for compressed design phase contour data created during the manufacturing of integrated circuits. In one embodiment of the invention, the method begins by generating a contour layout from a target layout during the design phase of a circuit. This contour layout is generated by way of a contour generator tool. Next, a set of differences between the contour layout and the target layout are calculated. A dataset containing these differences is generated. In some embodiments, the contour generator uses a post-optical proximity correction (OPC) layout of the target layout in order to generate the contour layout.
    Type: Grant
    Filed: February 23, 2009
    Date of Patent: January 24, 2012
    Assignee: Cadence Design Systems, Inc.
    Inventor: Christophe Pierrat
  • Patent number: 8103986
    Abstract: A mechanism to compress manufacturing awareness into a small representation and to enable the router to consult the representation without performing, or understanding, detailed process analysis, is disclosed.
    Type: Grant
    Filed: November 21, 2008
    Date of Patent: January 24, 2012
    Assignee: Cadence Design Systems, Inc.
    Inventor: Louis Scheffer
  • Patent number: 8103981
    Abstract: An embodiment of the invention provides a tool for modifying a mask design layout to be printed. The tool is executed by a computer system, and includes code for establishing a first level of correction for a mask design layout for a predetermined parametric yield without cost of correction to area of the mask design layout. The tool also includes code for correcting the mask design layout at said first level of correction based on a correction algorithm, the correction algorithm selecting a cell of the mask design layout having an edge placement error (EPE) for each gate feature in the cell. The correction algorithm selects the cell without loss to parametric yield as established by the predetermined parametric yield.
    Type: Grant
    Filed: September 25, 2009
    Date of Patent: January 24, 2012
    Assignees: The Regents of the University of California, The Regents of the University of Michigan
    Inventors: Andrew B. Kahng, Puneet Gupta, Dennis Sylvester, Jie Yang
  • Patent number: 8103982
    Abstract: Methods and systems for allowing an Integrated Circuit designer to specify one or more design rules, and to determine the expected probability of success of the IC design based on the design rules. Probability information is compiled for each circuit component, that specifies the probability of the circuit component working if a characteristic of the circuit component is varied. As the design rules are examined, the probability of each component working is calculated. The probabilities are combined to determine the overall probability of success for the IC design. Furthermore, the IC design may be broken into a plurality of portions, and design rules can be separately specified for each portion. This allows a designer the flexibility to use different design rules on different portions of the IC design.
    Type: Grant
    Filed: May 19, 2006
    Date of Patent: January 24, 2012
    Assignee: Cadence Design Systems, Inc.
    Inventor: Louis K. Scheffer
  • Patent number: 8103977
    Abstract: An object of the present invention is to reduce processing time and manufacturing cost for a semiconductor device including a logic circuit. To accomplish the above object, an area (114) for forming a logic circuit includes a first area (114b, 170) which is subjected to optical proximity correction with predetermined accuracy, and a second area (114a, 180) which is subjected to optical proximity correction with accuracy lower than said predetermined accuracy. Especially, the first area (114b, 170) includes a gate interconnection line (172) which acts as a transistor, and the second area (114a, 180) includes a dummy layout pattern (182) which does not act as a transistor.
    Type: Grant
    Filed: April 25, 2006
    Date of Patent: January 24, 2012
    Assignee: Renesas Electronics Corporation
    Inventors: Hironobu Taoka, Yusaku Ono
  • Patent number: 8099685
    Abstract: Shapes neighboring a potential manufacturing fault within a microdevice design layout are identified. Models are employed to determine the affect of the shapes upon the potential manufacturing fault. Possible adjustments to the shapes are modeled. The possible adjustments facilitating resolution of the potential manufacturing fault.
    Type: Grant
    Filed: July 31, 2008
    Date of Patent: January 17, 2012
    Assignee: Mentor Graphics Corporation
    Inventors: Marko P Chew, Yue Yang, Juan Andres Torres Robles
  • Publication number: 20120011479
    Abstract: Roughly described, the invention includes layouts and masks for an integrated circuit, in which the diffusion shape for a transistor includes a transversely extending jog on one or both transversely opposite sides, the jog having inner and outer corners, at least one of which is located relative to the gate conductor longitudinally such that during lithographic printing of the diffusion shape onto the integrated circuit, the corner will round and extend at least partly into the channel region. The invention also includes aspects for a system and method for introducing such jogs, and for an integrated circuit device having a non-rectangular channel region, the channel region being wider where it meets the source region than at some other longitudinal position under the gate.
    Type: Application
    Filed: September 20, 2011
    Publication date: January 12, 2012
    Applicant: SYNOPSYS, INC.
    Inventors: Victor Moroz, Munkang Choi, Xi-Wei Lin
  • Patent number: 8095896
    Abstract: There is provided a device which may easily and visually judge which chip in an FEM wafer has a normal exposure condition, or which chip has an abnormal exposure condition. A feature quantity for a sectional shape of a resist pattern of an FEM wafer is calculated for each chip region on an FEM wafer using an image of a resist pattern for an FEM wafer. The feature quantity of a sectional shape is displayed for each chip in a chip table of a map representing a position of a chip region on the FEM wafer. Deviations in feature quantities of sectional shapes of resist patterns of a FEM wafer to an appropriate value are displayed in color in the chip table.
    Type: Grant
    Filed: February 15, 2008
    Date of Patent: January 10, 2012
    Assignee: Hitachi High-Technologies Corporation
    Inventors: Hirohito Koike, Hidetoshi Morokuma, Chie Shishido
  • Patent number: 8095897
    Abstract: A method of laying out features for alternating aperture phase shift masks. The method includes defining features on a grid of a uniform basic pitch, orienting the features such that those of the features defined, at least in part, by phase shifting shapes are oriented along a primary direction, and spacing two features terminating adjacent one another such that the two features have space between them sufficient to prevent phase conflicts if both of the two features are defined, at least in part, by phase shifting shapes.
    Type: Grant
    Filed: October 22, 2008
    Date of Patent: January 10, 2012
    Assignee: International Business Machines Corporation
    Inventor: Kevin W. McCullen
  • Patent number: 8091047
    Abstract: Systems and methods for creating and implementing two-dimensional (2D), image-based design rules (IBDRs) are disclosed. Techniques for creating 2D IBDR can include identifying a search pattern that is representative of a 2D pattern of interest of a design, creating a pattern representation based on the search pattern, defining an anchor point for the pattern representation, and assigning weights to elements of the pattern representation. The 2D MDR can be used in systems and method for searching a design by comparing the 2D IBDR to the design. A number of 2D IBDRs can be merged into a subset of similar 2D IBDRs by characterizing desired rule geometries, sorting the 2D IBDRs into groups according to the desired rule geometries, merging the groups of 2D IBDRs into a single representative search pattern. Additionally, standard design rules can be created from the disclosed 2D IBDRs.
    Type: Grant
    Filed: December 22, 2009
    Date of Patent: January 3, 2012
    Assignee: Cadence Design Systems, Inc.
    Inventors: Frank E. Gennari, Ya-Chieh Lai, Matthew W. Moskewicz, Michael C. Lam, Gregory R. McIntyre
  • Patent number: 8086988
    Abstract: Disclosed is a computer-implemented method for designing a chip to optimize yielding parts in different bins as a function of multiple diverse metrics and further to maximize the profit potential of the resulting chip bins. The method separately calculates joint probability distributions (JPD), each JPD being a function of a different metric (e.g., performance, power consumption, etc.). Based on the JPDs, corresponding yield curves are generated. A profit function then reduces the values of all of these metrics (e.g., performance values, power consumption values, etc.) to a common profit denominator (e.g., to monetary values indicating profit that may be associated with a given metric value). The profit function and, more particularly, the monetary values can be used to combine the various yield curves into a combined profit-based yield curve from which a profit model can be generated.
    Type: Grant
    Filed: May 18, 2009
    Date of Patent: December 27, 2011
    Assignee: International Business Machines Corporation
    Inventors: Nathan Buck, Howard H. Chen, James P. Eckhardt, Eric A. Foreman, James C. Gregerson, Peter A. Habitz, Susan K. Lichtensteiger, Chandramouli Visweswariah, Tad J. Wilder
  • Patent number: 8086973
    Abstract: A pattern management method includes extracting patterns having process margins equal to or below a predetermined value from a chip layout of an integrated circuit, screening a plurality of types of representative patterns from the extracted pattern, extracting patterns closest to the most outer periphery of the chip from the representative patterns, and representatively managing the extracted patterns which is closest to the most outer periphery of the chip.
    Type: Grant
    Filed: December 18, 2007
    Date of Patent: December 27, 2011
    Assignee: Kabushiki Kaisha Toshiba
    Inventors: Kenji Yoshida, Soichi Inoue
  • Patent number: 8082525
    Abstract: Embodiments of a method for determining a mask pattern to be used on a photo-mask in a lithography process are described. This method may be performed by a computer system. During operation, this computer system receives at least a portion of a first mask pattern including first regions that violate pre-determined rules associated with the photo-mask. Next, the computer system determines a second mask pattern based on at least the portion of the first mask pattern, where the second mask pattern includes second regions that are estimated to comply with the pre-determined rules. Note that the second regions correspond to the first regions, and the second mask pattern is determined using a different technique than that used to determine the first mask pattern.
    Type: Grant
    Filed: April 14, 2009
    Date of Patent: December 20, 2011
    Assignee: Luminescent Technologies, Inc.
    Inventors: Yong Liu, John F. McCarty, Kelly Gordon Russell, Linyong Pang
  • Patent number: 8082119
    Abstract: A method for controlling mask fabrication is provided, wherein the method uses statistical process control analysis. A manufacturing model is defined. A process run of a mask is performed as defined by the manufacturing model. A fault detection analysis is performed to reduce a bias in the manufacturing model. A fine-tuning signal is generated in response to a result of the fault detection analysis. The process run operation is adjusted according to the fine-tuning signal.
    Type: Grant
    Filed: July 18, 2007
    Date of Patent: December 20, 2011
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Yuh-Fong Hwang, Chen-Yu Chang, Chiech-Yi Kuo, Wen-Yao Chen
  • Patent number: 8082524
    Abstract: A method for determining mask patterns to be used on photo-masks in a multiple-exposure photolithographic process is described. During the method, an initial mask pattern, which is intended for use in a single-exposure photolithographic process, and a target pattern that is to be printed are used to determine a first mask pattern and a second mask pattern, which are intended for use in the multiple-exposure photolithographic process. In particular, the first mask pattern includes a first feature and the second mask pattern includes a second feature, and the first feature and the second feature overlap an intersection between features in the initial mask pattern. Moreover, the first mask pattern and the second mask pattern have at least one decreased spatial frequency relative to the initial mask pattern along at least one direction in the initial mask pattern.
    Type: Grant
    Filed: April 14, 2009
    Date of Patent: December 20, 2011
    Assignee: Luminescent Technologies, Inc.
    Inventors: Robert P. Gleason, Timothy Lin, Andrew J. Moore, Bennett W. Olson, Paul Rissman
  • Patent number: 8082537
    Abstract: Examples of the invention relate to a method, apparatus, and computer readable medium for designing a mother integrated circuit (IC) configured for stacking with at least one daughter IC. A layout of the mother IC includes at least one interface tile having an electrical configuration for communicating with interface logic of the daughter IC. The method includes: obtaining design rules for through die vias (TDVs) to be formed in the mother IC for implementing connections between the at least one interface tile and a physical interface of the daughter IC; defining a layout of the TDVs in the mother IC according to the design rules; and defining at least one mask for programming interconnect on the mother IC to physically connect the TDVs between the at least one interface tile and the physical interface of the daughter IC without changing the electrical configuration of the at least one interface tile.
    Type: Grant
    Filed: January 28, 2009
    Date of Patent: December 20, 2011
    Assignee: Xilinx, Inc.
    Inventor: Arifur Rahman
  • Patent number: 8078998
    Abstract: Integrated circuits and methods of manufacture and design thereof are disclosed. For example, a method of manufacturing includes using a first mask to pattern a gate material forming a plurality of first and second features. The first features form gate electrodes of the semiconductor devices, whereas the second features are dummy electrodes. Based on the location of these dummy electrodes, selected dummy electrodes are removed using a second mask. The use of the method provides greater flexibility in tailoring individual devices for different objectives.
    Type: Grant
    Filed: July 20, 2010
    Date of Patent: December 13, 2011
    Assignee: Infineon Technologies AG
    Inventors: Henning Haffner, Manfred Eller, Richard Lindsay
  • Patent number: 8078996
    Abstract: A pattern verification method includes preparing a desired pattern and a mask pattern forming the desired pattern on a substrate, defining at least one evaluation point on an edge of the desired pattern, defining at least one process parameter to compute the transferred/formed pattern, defining a reference value and a variable range for each of the process parameters, and computing a positional displacement for each first points corresponding to the evaluation point, first points computed using correction mask pattern and a plurality of combinations of parameter values obtained by varying the process parameters within the variable range or within the respective variable ranges. The positional displacement is a displacement between first point and the evaluation point. The method further includes computing a statistics of the positional displacements for each of the evaluation points, and outputting information modifying the mask pattern according to the statistics.
    Type: Grant
    Filed: June 19, 2009
    Date of Patent: December 13, 2011
    Assignee: Kabushiki Kaisha Toshiba
    Inventors: Kyoko Izuha, Shigeki Nojima, Toshiya Kotani, Satoshi Tanaka
  • Patent number: 8078997
    Abstract: Various embodiments of the present invention are generally directed to a method, system, and computer program product for implementing direct measurement model with simulation and calibration of manufacturing process model in the manufacturing of precision devices such as electronic integrated circuits. The method and the system determine the measured measurement result and the direct measurement information and compare the direct measurement information against the other to determine whether to adjust the process models and the empirical parameters thereof.
    Type: Grant
    Filed: December 28, 2007
    Date of Patent: December 13, 2011
    Assignee: Cadence Design Systems, Inc.
    Inventor: Dmitri Lapanik
  • Patent number: 8074188
    Abstract: A method for designing a mask is disclosed. A chip region can be defined and reduced to form a parent dummy pattern. A mesh dummy pattern can be formed, and portions where the parent dummy pattern and the mesh dummy pattern overlap each other can be removed to form offspring dummy patterns.
    Type: Grant
    Filed: May 9, 2008
    Date of Patent: December 6, 2011
    Assignee: Dongbu HiTek Co., Ltd.
    Inventors: Sang Hee Lee, Gab Hwan Cho
  • Patent number: 8074187
    Abstract: Some embodiments of the invention provide a method for inserting several fills in an integrated circuit (“IC”) layout. The method identifies a set of potential fills in a region of an IC layout, where the set of potential fills has a first fill size, wherein the first fill size is from a set of fill sizes. The method specifies a halo around each potential fill in the set of potential fills. For each potential fill, the method determines whether the specified halo overlaps with a foreign object in the region of the layout. For each potential fill, the method specifies a legal fill in the region of the IC layout if the specified halo does not overlap with a foreign object in the region of the IC layout. The method inserts at least one legal fill in the region of the IC layout. In some embodiments, the halo is a spacing halo.
    Type: Grant
    Filed: March 24, 2010
    Date of Patent: December 6, 2011
    Assignee: Candence Design Systems, Inc.
    Inventors: Judd Matthew Ylinen, Kwok Ming Yue
  • Patent number: 8068663
    Abstract: The intensity distribution of an optical image in a resist film is calculated (S1); the intensity distribution of the optical image is transformed through a Fourier transform in a periodic direction of the intensity distribution of the optical image (S2) and is transformed through a spectral transform in an aperiodic direction of the intensity distribution of the optical image by use of a base which satisfies a boundary condition (S3); a modulation function for modulating the intensity distribution of the optical image is transformed through a Fourier transform in the periodic direction (S4) and is transformed through a spectral transform in the aperiodic direction by use of the base satisfying the boundary direction (S5); a product of the post-transformed intensity distribution of the optical image and the post-transformed modulation function is computed (S6), is transformed through an inverse Fourier transform in the periodic direction (S7), and is transformed through an inverse spectral transform in the ap
    Type: Grant
    Filed: August 7, 2008
    Date of Patent: November 29, 2011
    Assignee: Kabushiki Kaisha Toshiba
    Inventors: Masanori Takahashi, Satoshi Tanaka
  • Patent number: 8056022
    Abstract: A method of preparing a set of target layout data for the application of a photolithographic friendly design (LFD) analysis or other photolithographic analysis. The target layout data is revised to remove areas or features prior to performing the LFD analysis. The features removed include features that have been determined to print correctly, duplicate features and features that are not sensitive to variations in process conditions. The revised target layout is analyzed to determine if the features that remain will print correctly on a wafer.
    Type: Grant
    Filed: November 8, 2007
    Date of Patent: November 8, 2011
    Assignee: Mentor Graphics Corporation
    Inventors: Juan Andres Torres Robles, William S. Graupp, Mark C. Simmons
  • Patent number: 8056025
    Abstract: An access pad is used to provide access to a functional block of an integrated circuit (IC) device. The access pad is formed using dummy metal in an open space in a metallization level that is between a top metallization level and a base level on which the functional block is formed in the IC device. The access pad at the metallization level provides a contact to access an underlying circuit of the functional block so that the functional integrity of the functional block of the IC device can be verified during probing.
    Type: Grant
    Filed: February 21, 2008
    Date of Patent: November 8, 2011
    Assignee: Altera Corporation
    Inventors: Vijay Chowdhury, Che Ta Hsu, Ada Yu
  • Patent number: 8056028
    Abstract: A model-based tuning method for tuning a first mask writer unit utilizing a reference mask writer unit, each of which has tunable parameters for controlling mask writing performance.
    Type: Grant
    Filed: April 2, 2009
    Date of Patent: November 8, 2011
    Assignee: ASML Netherlands B.V.
    Inventors: Yu Cao, James Norman Wiley, Jun Ye
  • Patent number: 8056039
    Abstract: An interconnect structure for an integrated circuit (IC) device includes an elongated, electrically conductive line comprising one or more segments formed at a first width, w1, and one or more segments formed at one or more additional widths, w2 . . . wN, with the first width being narrower than each of the one or more additional widths; wherein the relationship of the total length, L1, of the one or more conductive segments formed at the first width to the total lengths, L2 . . . LN, of the one or more conductive segments formed at the one or more additional widths is selected such that, for a given magnitude of current carried by the conductive line, a critical length with respect to an electromigration short-length effect benefit is maintained such that a total length of the conductive line, L=L1+L2+ . . . +LN, meets a minimum desired design length regardless of the critical length.
    Type: Grant
    Filed: May 29, 2008
    Date of Patent: November 8, 2011
    Assignee: International Business Machines Corporation
    Inventors: Kaushik Chanda, Ronald Filippi, Stephan Grunow, Chao-Kun Hu, Sujatha Sankaran, Andrew H. Simon, Theodorus E. Standaert
  • Patent number: 8056026
    Abstract: The manufacturability of a lithographic mask employed in fabricating instances of a semiconductor device is determined. Target edges are selected from mask layout data of the lithographic mask. The mask layout data includes polygons distributed over cells, where each polygon has edges. The cells include a center cell, two vertical cells above and below the center cell, and two horizontal cells to the left and right of the center cell. Target edge pairs are selected for determining a manufacturing penalty in making the lithographic mask, in a manner that decreases the computational volume in determining the manufacturing penalty. The manufacturability of the lithographic mask, including the manufacturing penalty in making the lithographic mask, is determined based on the target edge pairs selected. The manufacturability of the lithographic mask is output. The manufacturability of the lithographic mask is dependent on the manufacturing penalty in making the lithographic mask.
    Type: Grant
    Filed: December 14, 2008
    Date of Patent: November 8, 2011
    Assignee: International Business Machines Corporation
    Inventors: Tadanobu Inoue, David O. Melville, Hidemasa Muta, Kehan Tian, Masahura Sakamoto, Alan E. Rosenbluth
  • Patent number: 8056032
    Abstract: Methods of measuring a mean-to-target (MTT) based on pattern area measurements are provided including providing a design pattern. A plurality of design pattern measurements are measured for calculating an area of the design pattern based on a shape of the design pattern. A series of calculation measurements are calculated by continuously substituting a same variation into the design pattern measurements, and calculating a series of calculation areas corresponding respectively to the calculation measurements to generate a database including the calculation measurements and the calculation areas. An actual pattern is formed using the design pattern and an area of the actual pattern is measured. A calculation area corresponding to the area of the actual pattern is selected from the database and calculation measurements corresponding to the calculation area are selected. A difference between the design pattern measurements and the calculation measurements is calculated and the difference is set as an MTT.
    Type: Grant
    Filed: November 24, 2008
    Date of Patent: November 8, 2011
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Hyung-joo Lee, So-yoon Bae, Yo-han Choi, Jong-won Kim, Dong-hoon Chung
  • Publication number: 20110269300
    Abstract: A method for making a semiconductor device is provided which comprises (a) creating a data set (301) which defines a set of tiles for a polysilicon deposition process; (b) deriving a polysilicon deposition mask set (311) from the data set, wherein the polysilicon deposition mask set includes a plurality of polysilicon tiles (303); (c) deriving an epitaxial growth mask set (321) from the data set, wherein the epitaxial growth mask set includes a plurality of epitaxial tiles (305); and (d) using the polysilicon deposition mask set and the epitaxial growth mask set to make a semiconductor device (331); wherein the epitaxial growth mask set is derived from the data set by using at least a portion of the tile pattern defined in the data set for at least a portion of the tile pattern defined in the epitaxial deposition mask set.
    Type: Application
    Filed: July 14, 2011
    Publication date: November 3, 2011
    Inventors: Omar Zia, Ruiqi Tian, Edward O. Travis
  • Patent number: 8049865
    Abstract: A lithographic system comprises an array of individually controllable elements, a projection system, datapath hardware, and a conversion system. The array of individually controllable elements is capable of modulating a radiation beam. The projection system is configured to project the modulated radiation beam onto a target portion of a substrate. The datapath hardware converts an input pattern file into a control signal for controlling the array of individually controllable elements. The conversion system is configured to convert a requested device layout pattern into an input pattern file for the datapath hardware. The input pattern file is a spatial-frequency-restricted representation of the requested device layout pattern.
    Type: Grant
    Filed: September 18, 2006
    Date of Patent: November 1, 2011
    Assignee: ASML Netherlands B.V.
    Inventors: Wouter Frans Willem Mulckhuyse, Patricius Aloysius Jacobus Tinnemans