Manufacturing Optimizations Patents (Class 716/54)
  • Patent number: 8549445
    Abstract: Targeted production control using multivariate analysis of design marginalities. A list of a plurality of metrology operations is accessed during production of an integrated circuit device. The list is generated from operations performed in the design of the integrated circuit device. At least one of the plurality of metrology operations is performed on the integrated circuit device. A manufacturing process of the integrated circuit device may be adjusted responsive to results of the performing.
    Type: Grant
    Filed: February 8, 2011
    Date of Patent: October 1, 2013
    Assignee: Synopsys, Inc.
    Inventor: Sagar Kekare
  • Patent number: 8549453
    Abstract: A method for verifying that acceptable device feature gradients and device feature disparities are present in a semiconductor device layout, is provided. The method provides for dividing a device layout into a plurality of windows and measuring or otherwise determining the device feature density within each window. The device layout includes various device regions and the method provides for comparing an average device feature density within one region to surrounding areas or other regions and also for determining gradients of device feature densities. The gradients may be monitored from within a particular device region to surrounding regions. Instructions for carrying out the method may be stored on a computer readable storage medium and executed by a processor.
    Type: Grant
    Filed: January 31, 2012
    Date of Patent: October 1, 2013
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Young-Chow Peng, Chung-Hui Chen, Chien-Hung Chen, Po-Zeng Kang
  • Patent number: 8539394
    Abstract: A method for minimizing errors of a plurality of photolithographic masks that serve for successively processing a substrate is provided. The method includes determining a reference displacement vector field, in which the reference displacement vector field correlates displacement vectors of the errors of the plurality of photolithographic masks. The method includes determining for each of the photolithographic mask a difference displacement vector field as a difference between the reference displacement vector field and the displacement vectors of the errors of the respective photolithographic mask, and correcting the errors for each of the photolithographic masks using the respective difference displacement vector field.
    Type: Grant
    Filed: February 29, 2012
    Date of Patent: September 17, 2013
    Assignee: Carl Zeiss SMS Ltd.
    Inventor: Rainer Pforr
  • Patent number: 8538222
    Abstract: A planar lightwave circuit is provided which can be easily fabricated by an existing planar-lightwave-circuit fabrication process, which can lower the propagation loss of signal light and which can convert inputted signal light so as to derive desired signal light. A planar lightwave circuit having a core and a clad which are formed on a substrate, has input optical waveguide(s) (111) which inputs signal light, mode coupling part (112) for coupling a fundamental mode of the inputted signal light to a higher-order mode and/or a radiation mode, or mode re-coupling part (113) for re-coupling the higher-order mode and/or the radiation mode to the fundamental mode, and output optical waveguide(s) (114) which outputs signal light. The mode coupling part or the mode re-coupling part is an optical waveguide which has core width and/or height varied continuously.
    Type: Grant
    Filed: July 25, 2012
    Date of Patent: September 17, 2013
    Assignee: Nippon Telegraph and Telephone Corporation
    Inventors: Takashi Saida, Yohei Sakamaki, Toshikazu Hashimoto, Tsutomu Kitoh, Hiroshi Takahashi, Masahiro Yanagisawa, Senichi Suzuki, Yasuhiro Hida, Motohaya Ishii, Munehisa Tamura
  • Patent number: 8539426
    Abstract: A method of forming a compact model for an electrical device includes obtaining shape information for the device and obtaining nominal information for the device. The method also includes merging the shape information and the nominal information to form composite data, and fitting the compact model to the composite data.
    Type: Grant
    Filed: February 22, 2011
    Date of Patent: September 17, 2013
    Assignee: International Business Machines Corporation
    Inventors: Paul A. Hyde, Rainer Thoma, Josef S. Watts
  • Patent number: 8539390
    Abstract: The manufacturability of a lithographic mask employed in fabricating instances of a semiconductor device is determined. Target edge pairs are selected from mask layout data of the mask, for determining a manufacturing penalty in making the mask. The manufacturability of the mask, including the manufacturing penalty in making the mask, is determined based on the target edge pairs as selected, and is dependent on the manufacturing penalty in making the mask. Determining the manufacturability of the mask includes, for a selected edge pair having first and second edges that are at least substantially parallel to one another, determining a manufacturing shape penalty owing to an aspect ratio of the first edge relative to a size of a gap between the first edge and the second edge. This penalty takes into account a pair of connected edges of the first edge that are at least substantially parallel to the first edge.
    Type: Grant
    Filed: January 31, 2011
    Date of Patent: September 17, 2013
    Assignee: International Business Machines Corporation
    Inventors: Tadanobu Inoue, Alan E. Rosenbluth, Kehan Tian, David O. Melville, Masaharu Sakamoto
  • Patent number: 8539425
    Abstract: Implementing circuit tuning post design of an integrated circuit utilizing gate phases. Each phase includes a designation of one of a slow phase and a fast phase. During the circuit design phase, each device is given a phase designation based upon expected performance of the device in the circuit. If the device is expected to be in a critical path or has a minimum timing slack, the device is placed on the fast phase. If the device is not in a critical path or has excess timing slack the device is placed on the slow phase.
    Type: Grant
    Filed: March 28, 2012
    Date of Patent: September 17, 2013
    Assignee: International Business Machines Corporation
    Inventors: Karl L. Erickson, Phil C. Paone, David P. Paulsen, John E. Sheets, II, Gregory J. Uhlmann, Kelly L. Williams
  • Patent number: 8539391
    Abstract: Aspects of the invention relate to techniques for determining edge fragment correlation information. With various implementations of the invention, image intensity slope information for edge fragments in a layout design is determined. The image intensity slope information comprises information describing how image intensity for each of the edge fragments changes with its position. Image amplitude sensitivity information for the edge fragments is also determined. The image amplitude sensitivity information comprises information describing how image amplitude for each of the edge fragments changes with positions of neighboring edge fragments. Based on the image intensity slope information and the image amplitude sensitivity information, edge fragment correlation information for the edge fragments is determined. Using the edge fragment correlation information, the layout design may be processed by using, for example, OPC techniques.
    Type: Grant
    Filed: January 31, 2012
    Date of Patent: September 17, 2013
    Assignee: Mentor Graphics Corporation
    Inventors: Junjiang Lei, Le Hong, Mei-Fang Shen, YiNing Pan
  • Patent number: 8539393
    Abstract: Disclosed are techniques for simulating and correcting the mask shadowing effect using the domain decomposition method (DDM). According to various implementations of the invention, DDM signals for an extreme ultraviolet (EUV) lithography mask are determined for a plurality of azimuthal angles of illumination. Base on the DDM signals, one or more layout designs for making the mask may be analyzed and/or modified.
    Type: Grant
    Filed: September 23, 2011
    Date of Patent: September 17, 2013
    Assignee: Mentor Graphics Corporation
    Inventors: James C Word, Konstantinos G Adam, Michael Lam, Sergiy Komirenko
  • Patent number: 8539396
    Abstract: A method for creating double patterning compliant integrated circuit layouts is disclosed. The method allows patterns to be assigned to different masks and stitched together during lithography. The method also allows portions of the pattern to be removed after the process.
    Type: Grant
    Filed: March 9, 2012
    Date of Patent: September 17, 2013
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Chin-Hsiung Hsu, Huang-Yu Chen, Chung-Hsing Wang
  • Patent number: 8533637
    Abstract: Aspects of the invention relate to retargeting based on process window simulation to fix hotspots. The process window simulation is performed to generate process window information. Edge fragments are selected for retargeting. Based on the process window information, the selected edge fragments are retargeted in a balanced way.
    Type: Grant
    Filed: December 29, 2010
    Date of Patent: September 10, 2013
    Assignee: Mentor Graphics Corporation
    Inventors: Christopher E Reid, George P Lippincott
  • Patent number: 8533641
    Abstract: Systems and methods are disclosed for forming a custom integrated circuit (IC) with a first fixed (non-programmable) region on a wafer with non-customizable mask layers, wherein the first fixed region includes multiplicities of transistors and a first interconnect layer and a second interconnect layer above the first interconnect layer which form base cells; and a programmable region above the first fixed region with customizable mask layers, wherein at least one mask layer in the programmable region is coupled to the second interconnect layer which provides electrical access to all transistor nodes of the base cells and wherein the programmable region comprises a third interconnect layer coupled to the customizable mask layers to customize the IC. A second fixed region may be formed above the programmable region to provide multiple fixed regions and reduce the number of required masks in customizing the custom IC.
    Type: Grant
    Filed: October 7, 2011
    Date of Patent: September 10, 2013
    Assignee: Baysand Inc.
    Inventors: Jonathan C Park, Salah M Werfelli, WeiZhi Kang, Wan Tat Hooi, Kok Siong Tee, Jeremy Jia Jian Lee
  • Patent number: 8533639
    Abstract: The present disclosure provides an integrated circuit design method. In an example, a method includes receiving an integrated circuit design layout that includes an active region feature, a contact feature, and an isolation feature, wherein a portion of the active region feature is disposed between the contact feature and the isolation feature; determining whether a thickness of the portion of the active region feature disposed between the contact feature and the isolation feature is less than a threshold value; and modifying the integrated circuit design layout if the thickness is less than the threshold value, wherein the modifying includes adding a supplementary active region feature adjacent to the portion of the active region feature disposed between the contact feature and the isolation feature.
    Type: Grant
    Filed: September 15, 2011
    Date of Patent: September 10, 2013
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Mei-Hsuan Lin, Chih-Chan Lu, Chih-Hsun Lin, Chih-Kang Chao, Ling-Sung Wang, Jen-Pan Wang
  • Patent number: 8533638
    Abstract: A model of defining a photoresist pattern collapse rule is provided. A portion of the photoresist pattern which corresponds to a second line pattern of a photomask layout is defined as non-collapse patterns if d?5a and c?1.5b or if 5a>d?3a and c?1.2b, wherein b is the widths of two first line patterns, c is the width of a second line pattern of the photomask layout, and a and d are distances between the second line pattern and the two first line patterns. Accordingly, a photomask layout, a semiconductor substrate and a method for improving photoresist pattern collapse for post-optical proximity correction are also provided.
    Type: Grant
    Filed: April 5, 2011
    Date of Patent: September 10, 2013
    Assignee: Nanya Technology Corporation
    Inventors: Kuo Kuei Fu, Yi Nan Chen, Hsien Wen Liu
  • Patent number: 8533634
    Abstract: A method of manufacturing an exposure mask includes generating or preparing flatness variation data relating to a mask blanks substrate to be processed into an exposure mask, the flatness variation data being data relating to change of flatness of the mask blank substrate caused when the mask blank substrate is chucked by a chuck unit of an exposure apparatus, generating position correction, data of a pattern to be drawn on the mask blanks substrate based on the flatness variation data such that a mask pattern of the exposure mask comes to a predetermined position in a state that the exposure mask is chucked by the chuck unit, and drawing a pattern on the mask blanks substrate, the drawing the pattern including drawing the pattern with correcting a drawing position of the pattern and inputting drawing data corresponding to the pattern and the position correction data into a drawing apparatus.
    Type: Grant
    Filed: March 8, 2010
    Date of Patent: September 10, 2013
    Assignee: Kabushiki Kaisha Toshiba
    Inventor: Masamitsu Itoh
  • Patent number: 8527255
    Abstract: A method of efficient simulating imaging performance of a lithographic process utilized to image a target design having a plurality of features. The method includes the steps of determining a function for generating a simulated image, where the function accounts for process variations associated with the lithographic process; and generating the simulated image utilizing the function, where the simulated image represents the imaging result of the target design for the lithographic process.
    Type: Grant
    Filed: June 12, 2012
    Date of Patent: September 3, 2013
    Assignee: ASML Netherlands B.V.
    Inventors: Jun Ye, Yu Cao, Hanying Feng
  • Patent number: 8527915
    Abstract: The present disclosure provides a method and system for modifying a doped region design layout during mask preparation to tune device performance. An exemplary method includes receiving an integrated circuit design layout designed to define an integrated circuit, wherein the integrated circuit design layout includes a doped feature layout; identifying an area of the integrated circuit for device performance modification, and modifying a portion of the doped feature layout that corresponds with the identified area of the integrated circuit during a mask preparation process, thereby providing a modified doped feature layout.
    Type: Grant
    Filed: November 1, 2011
    Date of Patent: September 3, 2013
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Mei-Hsuan Lin, Ling-Sung Wang, Chih-Hsun Lin, Chih-Kang Chao
  • Publication number: 20130227498
    Abstract: A method includes receiving a design layout file for an integrated circuit device in a computing apparatus. The design layout file specifies dimensions of a plurality of features. Outer markers are generated in the computing apparatus for at least a subset of the features based on the proximity of the features to one another and spacing requirements. Features are identified in the computing apparatus where the associated outer marker has at least one dimension greater than the dimensions specified for the feature.
    Type: Application
    Filed: February 27, 2012
    Publication date: August 29, 2013
    Applicant: Globalfoundries Inc.
    Inventors: Piyush Pathak, Shobhit Malik, Sriram Madhavan
  • Publication number: 20130219350
    Abstract: A method for reducing layer overlay errors by synchronizing the density of mask material in the frame area across the masks in a set is disclosed. An exemplary method includes creating a mask design database corresponding to a mask and containing a die area with one or more dies and a frame area outside the die area. Fiducial features within the frame area are identified, and from the fiducial features, an idle frame area is identified. A reference mask design, which corresponds to a reference mask configured to be aligned with the mask, is used to determine a reference density for the idle frame area. The idle frame area of the mask design database is modified to correspond to the reference density. The modified mask design database is then available for further use including manufacturing the mask.
    Type: Application
    Filed: February 17, 2012
    Publication date: August 22, 2013
    Applicant: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD.
    Inventors: Hsin-Chang Lee, Chia-Jen Chen, Lee-Chih Yeh, Anthony Yen
  • Patent number: 8516401
    Abstract: Methods for jointly calibrating etch and exposure mask process models from etch only data are described. Initially, an etch model and an exposure model may be identified. Subsequently, a combined etch/exposure model may be generated based upon the etch model and the exposure model. Following which, a global optimization process may be performed to calibrate the combined etch/exposure model based upon measured data representing the etch and the exposure effects. With some implementations, the global optimization process is based in part upon a cost function representing the norm of the difference between the simulated mask contours and the measured mask contours. Furthermore, in some implementations, the optimization variable set is the union of the parameter sets corresponding to the etch model and the exposure model individually. Further still, with various implementations, the optimization of based upon the etch parameter set is “nested” inside an optimization of the exposure parameter set, or, vice versa.
    Type: Grant
    Filed: November 19, 2009
    Date of Patent: August 20, 2013
    Assignee: Mentor Graphics Corporation
    Inventors: Emile Sahouria, Yuanfang Hu
  • Patent number: 8516404
    Abstract: Disclosed are method(s), system(s), and article(s) of manufacture for implementing a layout of an electronic circuit using one or more constraint checking windows. The method identifies some constraints on multiple-patterning lithography and multiple constraint checking windows for the layout. The method determines one or more metrics for a constraint checking window or for a layout and assigns one or more shapes in the one or more constraint checking windows to their respective mask designs based on the one or more metrics. The method traverses through the one or more constraint checking windows until all shapes in the layout are assigned to their respective mask designs. The method may also determine a processing order for the one or more constraint checking windows based on the distribution of a type of shapes in the layout.
    Type: Grant
    Filed: December 30, 2011
    Date of Patent: August 20, 2013
    Assignee: Cadence Design Systems, Inc.
    Inventors: Min Cao, Roland Ruehl, Gilles S. C. Lamant
  • Patent number: 8516408
    Abstract: Techniques for forming a first electronic circuit including a plurality of instances of a repeatable circuit element include the steps of: obtaining a total number of instances of the repeatable circuit element in a design of an IC including the first electronic circuit and at least a second electronic circuit; and configuring at least one functional parameter of the first electronic circuit as a function of the total number of instances of the repeatable circuit element in the IC to thereby satisfy a prescribed minimum composite manufacturing yield of the IC and/or at least one specification of the IC under prescribed operating conditions.
    Type: Grant
    Filed: May 26, 2009
    Date of Patent: August 20, 2013
    Assignee: LSI Corporation
    Inventors: Richard Bruce Dell, Ross A. Kohler, Richard J. McPartland, Wayne E. Werner
  • Patent number: 8516418
    Abstract: A relational database may be integrated into an integrated circuit design and analysis environment as the persistent data store for data associated with the design. This design data may include two or more abstractions of the design, such as layout data models and timing data models, in some embodiments. Design data may be partitioned in the database and indexed according to various attributes. The use of a relational database may facilitate cross-probing of design data corresponding to different abstractions of the design. The relational database may be queried to produce design reports and to identify design errors or weaknesses. Reports may be graphical or tabular, and may be displayed, printed, stored, or posted for viewing. Proposed modifications to a design may be investigated by modifying data in the relational database, rather than in the actual design. Design reports may be re-generated and compared with corresponding reports for the un-modified design.
    Type: Grant
    Filed: June 30, 2006
    Date of Patent: August 20, 2013
    Assignee: Oracle America, Inc.
    Inventors: Gunjeet Singh, Aman U. Joshi
  • Patent number: 8516407
    Abstract: A method for fabricating an integrated circuit is disclosed that includes, in accordance with an embodiment, providing a double patterning technology-compliant logical design for the integrated circuit, the logical design including a plurality of elements; scoring the design of one or more of the plurality of elements to produce a design score; modifying the design based at least in part on the design score; generating a mask set implementing the modified logical design; and employing the mask set to implement the logical design in and on a semiconductor substrate.
    Type: Grant
    Filed: January 30, 2012
    Date of Patent: August 20, 2013
    Assignee: GLOBALFOUNDRIES, Inc.
    Inventors: Lynn T. Wang, Sriram Madhavan, Luigi Capodieci
  • Patent number: 8516405
    Abstract: In one aspect, the present invention is directed to a technique of, and system for simulating, verifying, inspecting, characterizing, determining and/or evaluating the lithographic designs, techniques and/or systems, and/or individual functions performed thereby or components used therein. In one embodiment, the present invention is a system and method that accelerates lithography simulation, inspection, characterization and/or evaluation of the optical characteristics and/or properties, as well as the effects and/or interactions of lithographic systems and processing techniques.
    Type: Grant
    Filed: June 26, 2012
    Date of Patent: August 20, 2013
    Assignee: ASML Netherlands B.V.
    Inventors: Jun Ye, Yen-Wen Lu, Yu Cao, Luoqi Chen, Xun Chen
  • Patent number: 8516406
    Abstract: Various embodiments are directed at methods and systems for implementing automatic fixing of a layout, implementing fuzzy pattern replacement, and implementing pattern capturing in a layout of an electronic circuit design. Various processes or modules comprise the act or module of identifying a first pattern from within an electronic circuit layout. The processes or modules also comprise identifying a fixing process or a replacement pattern for the first pattern and the act of performing pattern replacement or pattern fixing on the first pattern. The processes or modules may further comprise the act or module of searching the layout for patterns that match the first pattern, and the act or module of performing pattern replacement of pattern fixing on the patterns that match the first pattern. Some embodiments are also directed at articles of manufacture embodying a sequence of instructions for implementing the processes described here.
    Type: Grant
    Filed: December 30, 2010
    Date of Patent: August 20, 2013
    Assignee: Cadence Design Systems, Inc.
    Inventors: Ya-Chieh Lai, Frank Gennari, Olivier Omedes, Olivier Pribetich
  • Patent number: 8510684
    Abstract: A method of forming a layout of a photomask includes receiving a layout of a mask pattern, obtaining image parameters of a two-dimensional (2D) layout mask from a simulation, obtaining image parameters of a three-dimensional (3D) layout mask from a simulation, and obtaining differences between the image parameters of the 2D and 3D masks. The differences between the image parameters of the 2D and 3D masks can be compensated by convolving a probability function with respect to an open area, represented by a visible kernel function, with a mask function to produce a first function, convolving a probability function with respect to a blocked area, represented by a visible kernel function, with the mask function to produce a second function, and summing the first function and the second function to produce a compensated vector. The layout of the mask pattern can be corrected using the compensated vector.
    Type: Grant
    Filed: December 15, 2011
    Date of Patent: August 13, 2013
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Moon-Gyu Jeong, Seong-Woon Choi, Jung Hoon Ser
  • Patent number: 8510687
    Abstract: The present disclosure involves a method of data preparation in lithography processes. The method of data preparation includes providing an integrated circuit (IC) layout design in a graphic database system (GDS) grid, and converting the IC layout design GDS grid to a second exposure grid by applying an error diffusion and a grid shift technique to a sub-pixel exposure grid.
    Type: Grant
    Filed: March 1, 2012
    Date of Patent: August 13, 2013
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Pei-Yi Liu, Shy-Jay Lin, Wen-Chuan Wang, Jaw-Jung Shin, Burn Jeng Lin
  • Patent number: 8510685
    Abstract: Disclosed are methods, systems, and articles of manufacture for processing a electronic design, which use a computer system to identify an operation associated with a task to be performed on the electronic design, to generate a hierarchical output for multiple shapes for performing the task based at least in part on performing an operation associated with the task, and to display or to store the hierarchical output. The task comprises a dummy fill insertion task or a design verification task in some embodiments. The methods or the systems may further determine or identify an inverse transform and apply the inverse transform to a shape before adding the shape to the hierarchical output. In some embodiments, there exists no duplication among the shapes in the hierarchical output, or only shapes derived from original shapes that belong to the first instance of a cellview master are added to the hierarchical output.
    Type: Grant
    Filed: December 30, 2009
    Date of Patent: August 13, 2013
    Assignee: Cadence Design Systems, Inc.
    Inventors: Sabra Rossman, Mark Rossman
  • Patent number: 8504951
    Abstract: According to one embodiment, generating virtual data by mirroring data based on a dimension measurement result in a measurement region on an inner side of a shot region to a non-shot region on an outer side of a shot edge, and calculating dose data of the measurement region and a non-measurement region based on data in the measurement region and the virtual data are included.
    Type: Grant
    Filed: June 24, 2011
    Date of Patent: August 6, 2013
    Assignee: Kabushiki Kaisha Toshiba
    Inventors: Yosuke Okamoto, Takashi Koike
  • Patent number: 8504950
    Abstract: Disclosed herein is an ASIC having a base array of function blocks. Each function block includes a plurality of primitive cells. Each primitive cell is defined by a component from a standard cell library. The base array is prefabricated for use later with a custom circuit design.
    Type: Grant
    Filed: July 23, 2010
    Date of Patent: August 6, 2013
    Assignee: Otrsotech, Limited Liability Company
    Inventor: Eric Dellinger
  • Patent number: 8504959
    Abstract: A method of preparing a set of target layout data for the application of a photolithographic friendly design (LFD) analysis or other photolithographic analysis. The target layout data is revised to remove areas or features prior to performing the LFD analysis. The features removed include features that have been determined to print correctly, duplicate features and features that are not sensitive to variations in process conditions. The revised target layout is analyzed to determine if the features that remain will print correctly on a wafer.
    Type: Grant
    Filed: November 7, 2011
    Date of Patent: August 6, 2013
    Assignee: Mentor Graphics Corporation
    Inventors: Juan Andres Torres Robles, William S. Graupp, Mark C. Simmons
  • Publication number: 20130198696
    Abstract: A method for fabricating an integrated circuit is disclosed that includes, in accordance with an embodiment, providing a double patterning technology-compliant logical design for the integrated circuit, the logical design including a plurality of elements; scoring the design of one or more of the plurality of elements to produce a design score; modifying the design based at least in part on the design score; generating a mask set implementing the modified logical design; and employing the mask set to implement the logical design in and on a semiconductor substrate.
    Type: Application
    Filed: January 30, 2012
    Publication date: August 1, 2013
    Applicant: GLOBALFOUNDRIES INC.
    Inventors: Lynn T. Wang, Sriram Madhavan, Luigi Capodieci
  • Patent number: 8499260
    Abstract: Solutions for accounting for photomask deviations in a lithographic process during optical proximity correction verification are disclosed. In one embodiment, a method includes: identifying a wafer control structure in a data set representing one of a first chip or a kerf; biasing the data set representing the first chip in the case that the wafer control structure is in the data set representing the first chip; biasing the data set representing the kerf or a second chip distinct from the first chip, in the case that the wafer control structure is in the data set representing the kerf or the second chip; simulating formation of the wafer control structure; determining whether the simulated wafer control structure complies with a target control structure; and iteratively adjusting an exposure dose condition in the case that the simulated wafer control structure does not comply with the target control structure.
    Type: Grant
    Filed: January 26, 2011
    Date of Patent: July 30, 2013
    Assignee: International Business Machines Corporation
    Inventors: James A. Bruce, Kenneth T. Settlemyer, Jr.
  • Patent number: 8495530
    Abstract: A mechanism is provided for electrical yield enhancement retargeting of photolithographic layouts. Optical proximity correction is performed on a set of target patterns in order to produce a set of optical proximity correction mask shapes. A set of lithographic contours is generated for each of the set of optical proximity correction mask shapes. A determination is made of electrical yield sensitivities for at least one shape in a set of shapes in the set of target patterns. A determination is also made as to an amount and a direction of retargeting for each shape in the set of shapes based on the electrical yield sensitivity of the shape. A new set of target patterns with retargeted edges is generated for each shape based on the amount and the direction of retargeting.
    Type: Grant
    Filed: June 19, 2012
    Date of Patent: July 23, 2013
    Assignee: International Business Machines Corporation
    Inventor: Kanak B. Agarwal
  • Patent number: 8495524
    Abstract: A mechanism is provided for optimizing a photolithograph mask. A given target pattern is received. An initial fictitious mask is generated from the given target pattern and an initial value of ?2 is selected where the initial value of ?2 is used to determine a light intensity and a wafer image. The light intensity for each pixel in the initial fictitious mask and the wafer image for each pixel in the initial fictitious mask are then determined. A determination is then made as to whether a convergence has been achieved by comparing the wafer image generated from the fictitious mask to the given target pattern. Responsive to a convergence of the wafer image generated from the fictitious mask to the given target pattern, a final mask is generated to use to transfer an image to a wafer.
    Type: Grant
    Filed: June 25, 2012
    Date of Patent: July 23, 2013
    Assignee: International Business Machines Corporation
    Inventors: Ying Liu, Sani R. Nassif, Xiaokang Shi
  • Patent number: 8495528
    Abstract: A simplified version of a multiexpose mask optimization problem is solved in order to find a compressed space in which to search for the solution to the full problem formulation. The simplification is to reduce the full problem to an unconstrained formulation. The full problem of minimizing dark region intensity while maintaining intensity above threshold at each bright point can be converted to the unconstrained problem of minimizing average dark region intensity per unit of average intensity in the bright regions. The extrema solutions to the simplified problem can be obtained for each source. This set of extrema solutions is then assessed to determine which features are predominantly printed by which source. A minimal set of extrema solutions serves as a space of reduced dimensionality within which to maximize the primary objective under constraints. The space typically has reduced dimensionality through selection of highest quality extrema solutions.
    Type: Grant
    Filed: September 27, 2010
    Date of Patent: July 23, 2013
    Assignee: International Business Machines Corporation
    Inventors: Saeed Bagheri, Kafai Lai, David O. Melville, Alan E. Rosenbluth, Kehan Tian, Jaione Tirapu Azpiroz
  • Patent number: 8495529
    Abstract: A method of generating a mask having optical proximity correction features.
    Type: Grant
    Filed: November 5, 2009
    Date of Patent: July 23, 2013
    Assignee: ASML Masktools B.V.
    Inventors: Douglas van Den Broeke, Jang Fung Chen
  • Patent number: 8495523
    Abstract: A mechanism is provided for optimizing a photolithograph mask. A given target pattern is received. An initial fictitious mask is generated from the given target pattern and an initial value of ?2 is selected where the initial value of ?2 is used to determine a light intensity and a wafer image. The light intensity for each pixel in the initial fictitious mask and the wafer image for each pixel in the initial fictitious mask are then determined. A determination is then made as to whether a convergence has been achieved by comparing the wafer image generated from the fictitious mask to the given target pattern. Responsive to a convergence of the wafer image generated from the fictitious mask to the given target pattern, a final mask is generated to use to transfer an image to a wafer.
    Type: Grant
    Filed: June 25, 2012
    Date of Patent: July 23, 2013
    Assignee: International Business Machines Corporation
    Inventors: Ying Liu, Sani R. Nassif, Xiaokang Shi
  • Patent number: 8490033
    Abstract: A method which directly incorporates patterning fidelity into the design of a patterning system is provided. A production result of a target pattern is simulated according to a set of design parameters to obtain a simulated pattern. The target pattern is compared with the simulated pattern to obtain a patterning fidelity, and the values of the set of design parameters of the patterning system are adjusted according to a target patterning fidelity to optimize the values of the set of design parameters of the patterning system.
    Type: Grant
    Filed: May 23, 2012
    Date of Patent: July 16, 2013
    Assignee: National Taiwan University
    Inventors: Kuen-Yu Tsai, Sheng-Yung Chen, Hoi-Tou Ng, Shiau-Yi Ma
  • Patent number: 8490029
    Abstract: A method of fabricating a device using a sequence of annealing processes is provided. More particularly, a logic NFET device fabricated using a low temperature anneal to eliminate dislocation defects, method of fabricating the NFET device and design structure is shown and described. The method includes forming a stress liner over a gate structure and subjecting the gate structure and stress liner to a low temperature anneal process to form a stacking force in single crystalline silicon near the gate structure as a way to memorized the stress effort. The method further includes stripping the stress liner from the gate structure and performing an activation anneal at high temperature on device.
    Type: Grant
    Filed: March 15, 2012
    Date of Patent: July 16, 2013
    Assignee: International Business Machines Corporation
    Inventors: Anthony G. Domenicucci, Terence L. Kane, Shreesh Narasimha, Karen A. Nummy, Viorel Ontalus, Yun-Yu Wang
  • Patent number: 8490032
    Abstract: Techniques and systems for converting a non-bandlimited pattern layout into a band-limited pattern image are described. During operation, the system receives the non-bandlimited pattern layout which comprises one or more polygons. The system further receives an anti-aliasing filter (AAF) kernel, wherein the AAF kernel is configured to convert a non-bandlimited pattern into a band-limited pattern. The system then constructs an AAF lookup table for the AAF kernel, wherein the AAF lookup table contains precomputed values for a set of convolution functions which are obtained by convolving a set of basis functions with the AAF kernel. Next, the system creates a sampled pattern layout by applying a grid map over the pattern layout. The system then obtains the band-limited pattern image by using the AAF lookup table to convolve the AAF kernel with each grid location in the sampled pattern layout.
    Type: Grant
    Filed: September 28, 2010
    Date of Patent: July 16, 2013
    Assignee: Synopsys, Inc.
    Inventors: Michael L. Rieger, Micheal Cranford, John P. Stirniman
  • Patent number: 8490031
    Abstract: A method for manufacturing a semiconductor device includes the steps of reading physical layout data of a circuit to be manufactured and performing calculation to modify a pattern width in the physical layout data by a predetermined amount; reading a physical layout and analyzing a pattern that is predicted to remain as a step difference of a predetermined amount or more in a case where a planarization process is performed on a planarizing film on a pattern by a quantitative calculation by using at least one of a density of patterns, a pattern width, and a peripheral length of a range of interest and a range in the vicinity of the range of interest; and reading data of the pattern that is predicted to remain as a step difference, and making a correction to a layout in which a step difference of a predetermined amount or more does not remain.
    Type: Grant
    Filed: April 30, 2010
    Date of Patent: July 16, 2013
    Assignee: Sony Corporation
    Inventors: Kyoko Izuha, Shunichi Shibuki, Takashi Sakairi
  • Publication number: 20130179847
    Abstract: Disclosed herein is a computer-implemented method for improving a lithographic process for imaging a portion of a design layout onto a substrate using a lithographic projection apparatus, the method comprising defining a multi-variable cost function, the multi-variable cost function being a function of a stochastic effect of the lithographic process.
    Type: Application
    Filed: December 18, 2012
    Publication date: July 11, 2013
    Applicant: ASML NETHERLANDS B.V.
    Inventor: Steven George Hansen
  • Patent number: 8484601
    Abstract: A method co-optimizes a design and a library in such a way to choose the best set of cells to implement the design. The method takes into account the idea of limiting the number of new cells while reducing target costs and respecting design constraints. The method chooses a minimum nearly optimum set of cells to optimize a design. This involves the simultaneous optimization of a cell-based design and a cell library used to implement it. The invention can produce only an optimized library for a specific application, when the circuit is disregarded. The method takes into account a set of new cells described as finalized cells or as virtual cells, possibly having different transistor topologies, different sizes, different logic functions, and/or different cell template than the original library.
    Type: Grant
    Filed: August 5, 2011
    Date of Patent: July 9, 2013
    Assignee: Nangate Inc.
    Inventors: Andre Inacio Reis, Anders Bo Rasmussen, Vinicius Pazutti Correia, Ole Christian Andersen
  • Patent number: 8484603
    Abstract: A method co-optimizes a design and a library in such a way to choose the best set of cells to implement the design. The method takes into account the idea of limiting the number of new cells while reducing target costs and respecting design constraints. The method chooses a minimum nearly optimum set of cells to optimize a design. This involves the simultaneous optimization of a cell-based design and a cell library used to implement it. The invention can produce only an optimized library for a specific application, when the circuit is disregarded. The method takes into account a set of new cells described as finalized cells or as virtual cells, possibly having different transistor topologies, different sizes, different logic functions, and/or different cell template than the original library.
    Type: Grant
    Filed: September 18, 2012
    Date of Patent: July 9, 2013
    Assignee: Nangate Inc.
    Inventors: Andre Inacio Reis, Anders Bo Rasmussen, Vinicius Pazutti Correia, Ole Christian Andersen
  • Patent number: 8484587
    Abstract: Photolithographic process simulation is described in which fast computation of resultant intensity for a large number of process variations and/or target depths (var,zt) is achieved by computation of a set of partial intensity functions independent of (var,zt) using a mask transmittance function, a plurality of illumination system modes, and a plurality of preselected basis spatial functions independent of (var,zt). Subsequently, for each of many different (var,zt) combinations, expansion coefficients are computed for which the preselected basis spatial functions, when weighted by those expansion coefficients, characterize a point response of a projection-processing system determined for that (var, zt) combination. The resultant intensity for that (var,zt) combination is then computed as a sum of the partial intensity functions weighted according to corresponding products of those expansion coefficients.
    Type: Grant
    Filed: February 16, 2011
    Date of Patent: July 9, 2013
    Assignee: Olambda, Inc.
    Inventor: Haiqing Wei
  • Patent number: 8484584
    Abstract: At least one pattern of a photomask is identified that has a likelihood of causing collapse of a microelectronic device feature that is formed using the photomask, due to surface tension of a solution that is applied to the feature during manufacture of the microelectronic device. The patterns of the photomask are then modified to reduce the likelihood of the collapse. The photomask may be formed and the photomask may be used to manufacture microelectronic devices. Related methods, systems, devices and computer program products are described.
    Type: Grant
    Filed: October 26, 2011
    Date of Patent: July 9, 2013
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Mi-kyeong Lee, Seong-woon Choi
  • Patent number: 8484602
    Abstract: A method co-optimizes a design and a library in such a way to choose the best set of cells to implement the design. The method takes into account the idea of limiting the number of new cells while reducing target costs and respecting design constraints. The method chooses a minimum nearly optimum set of cells to optimize a design. This involves the simultaneous optimization of a cell-based design and a cell library used to implement it. The invention can produce only an optimized library for a specific application, when the circuit is disregarded. The method takes into account a set of new cells described as finalized cells or as virtual cells, possibly having different transistor topologies, different sizes, different logic functions, and/or different cell template than the original library.
    Type: Grant
    Filed: August 5, 2011
    Date of Patent: July 9, 2013
    Assignee: Nangate Inc.
    Inventors: Andre Inacio Reis, Anders Bo Rasmussen, Vinicius Pazutti Correia, Ole Christian Andersen
  • Patent number: 8484586
    Abstract: A system and method is provided which predicts problematic areas for lithography in a circuit design, and more specifically, which uses modeling data from a modeling tool to accurately predict problematic lithographic areas. The method includes identifying surface heights of plurality of tiles of a modeled wafer, and mathematically mimicking a lithographic tool to determine best planes of focus for exposure for the plurality of tiles.
    Type: Grant
    Filed: June 14, 2012
    Date of Patent: July 9, 2013
    Assignee: Mentor Graphics Corporation
    Inventors: Timothy A. Brunner, Stephen E. Greco, Bernhard R. Liegl, Hua Xiang