Manufactured Articles Patents (Class 134/25.4)
  • Patent number: 7670438
    Abstract: A method of removing particles from a wafer is provided. The method is adopted after a process for removing unreactive metal of a salicide process or after a salicide process and having oxide residue remaining on a wafer or after a chemical vapor deposition (CVD) process that resulted with particles on a wafer. The method includes performing at least two cycles (stages) of intermediate rinse process. Each cycle of the intermediate rinse process includes conducting a procedure of rotating the wafer at a high speed first, and then conducting a procedure of rotating the wafer at a low speed.
    Type: Grant
    Filed: October 3, 2007
    Date of Patent: March 2, 2010
    Assignee: United Microelectronics Corp.
    Inventors: Yi-Wei Chen, Bao-Tzeng Huang, An-Chi Liu, Chao-Ching Hsieh, Nien-Ting Ho, Kuo-Chih Lai
  • Publication number: 20100037918
    Abstract: The present invention is drawn to a wire container comprising a plurality of spring-like wires having wave patterns forming a part support that minimize contact points between the container and one or more contained parts when the container is subjected to a vibration causing the wires and the parts to move, thereby eliminating any fixed contact points between the part and the supporting part support. Another aspect of the current invention is a process for removing penetrant from parts comprising: placing one or more parts with penetrant applied in a container having spring-like wire with wave patterns forming a part support; oscillating the container during a cleaning process thereby compressing and expanding one or more spring-like wires thus exposing part surfaces and removing the penetrant from the parts.
    Type: Application
    Filed: August 14, 2008
    Publication date: February 18, 2010
    Applicant: Randall Manufacturing Co., Inc.
    Inventors: Fred Randall, Robert G. Peterson, Al Raven
  • Publication number: 20100024847
    Abstract: In a method for cleaning wafers using ultra-dilute acids, the wafers are placed into a rotor in a process chamber. As the rotor spins, the wafers are with de-ionized water and ultra-dilute hydrofluoric acid. Ozone gas is introduced into the process chamber. The wafers are then sprayed with an ultra-dilute solution of hydrochloric acid. Ozone gas is purged from the chamber. The wafers are then rinsed and dried. The ultra-dilute acids may be used in water to acid concentrations on the order of about 1000-2400:1.
    Type: Application
    Filed: August 1, 2008
    Publication date: February 4, 2010
    Inventors: Ronald G. Breese, Benjamin Shafer, Dana R. Scranton, Bruce J. Fender
  • Publication number: 20100006124
    Abstract: In a first aspect, a module is provided that is adapted to process a wafer. The module includes a processing portion having one or more features such as (1) a rotatable wafer support for rotating an input wafer from a first orientation wherein the wafer is in line with a load port to a second orientation wherein the wafer is in line with an unload port; (2) a catcher adapted to contact and travel passively with a wafer as it is unloaded from the processing portion; (3) an enclosed output portion adapted to create a laminar air flow from one side thereof to the other; (4) an output portion having a plurality of wafer receivers; (5) submerged fluid nozzles; and/or (6) drying gas flow deflectors, etc. Other aspects include methods of wafer processing.
    Type: Application
    Filed: December 29, 2008
    Publication date: January 14, 2010
    Inventors: Younes Achkire, Alexander Lerner, Boris T. Govzman, Boris Fishkin, Michael Sugarman, Rashid Mavleiv, Hoaquan Fang, Shijian Li, Guy Shirazi, Jianshe Tang
  • Patent number: 7628866
    Abstract: A method of cleaning a wafer after an etching process is provided. A substrate having an etching stop layer, a dielectric layer, a patterned metal hard mask sequentially formed thereon is provided. Using the patterned metal hard mask, an opening is defined in the dielectric layer. The opening exposes a portion of the etching stop layer. A dry etching process is performed in the environment of helium to remove the etching stop layer exposed by the opening. A dry cleaning process is performed on the wafer surface using a mixture of nitrogen and hydrogen as the reactive gases. A wet cleaning process is performed on the wafer surface using a cleaning solution containing a trace amount of hydrofluoric acid.
    Type: Grant
    Filed: November 23, 2006
    Date of Patent: December 8, 2009
    Assignee: United Microelectronics Corp.
    Inventors: Miao-Chun Lin, Cheng-Ming Weng, Chun-Jen Huang
  • Patent number: 7625452
    Abstract: A method for cleaning a substrate is provided. The method includes providing foam to a surface of the substrate, brush scrubbing the surface of the substrate, providing pressure to the foam, and channeling the pressured foam to produce jammed foam, the channeling including channeling the pressured foam into a gap, the gap being defined by a space between a surface of a brush enclosure and the surface of the substrate. The brush scrubbing of the surface of the substrate and the channeling of the pressured foam across the surface of the substrate facilitate particle removal from the surface of the substrate.
    Type: Grant
    Filed: September 14, 2008
    Date of Patent: December 1, 2009
    Assignee: Lam Research Corporation
    Inventors: John M. de Larios, Aleksander Owczarz, Alan Schoepp, Fritz Redeker
  • Patent number: 7614407
    Abstract: A process for removing the coating layers from finished golf balls is disclosed herein. The process involves soaking the golf balls in a removal solution and then subjecting the golf balls to ultrasonic treatment in order to remove a coating layer, an indicia and/or a paint layer.
    Type: Grant
    Filed: August 8, 2007
    Date of Patent: November 10, 2009
    Assignee: Callaway Golf Company
    Inventors: Paul Waterman, Mario Godbout
  • Publication number: 20090246968
    Abstract: A substrate treating apparatus for performing treatment of substrates with a treating liquid.
    Type: Application
    Filed: March 25, 2009
    Publication date: October 1, 2009
    Inventors: Yasunori NAKAJIMA, Yusuke MORI
  • Patent number: 7572342
    Abstract: A system for cleaning semiconductor lithography tools provides for cycling a polished-side down semiconductor wafer through the lithography tool using conventional automated robotics for loading and unloading the wafer from a vacuum chuck of the lithography tool. The vacuum chuck may provide a continuous clamping vacuum feature and may include a vacuum ring that surround the periphery of the vacuum chuck. The chuck and vacuum ring may advantageously be formed of a high accuracy ceramic or plastic such as ZeroDur ceramic. The polished side of the semiconductor wafer includes grooves in a polished surface and which extend inwardly from a peripheral edge of the wafer, the grooves provide gaps between the wafer and chuck allowing the wafer to be released by a slow loss of vacuum-pressure through the gaps. The pristine clean polished surface of the wafer getters contaminating particles from the chuck.
    Type: Grant
    Filed: June 2, 2006
    Date of Patent: August 11, 2009
    Assignee: Wafertech, LLC
    Inventor: Guy Jacobson
  • Publication number: 20090178695
    Abstract: A method and apparatus for cleaning printed circuit boards are provided. The method includes providing a cleaning apparatus with a housing having a conveyance mechanism for carrying printed circuit boards through the housing. The cleaning apparatus has at least a prewash station, a wash station and a final rinse station therein. The printed circuit boards are carried on the conveyance mechanism to the prewash station. A plurality of fluidic oscillator nozzles of the prewash station are utilized to direct liquid onto the printed circuit boards. Each fluidic oscillator nozzle outputs a stream of liquid with an instantaneous direction that oscillates back and forth relative to a nozzle axis over time.
    Type: Application
    Filed: January 11, 2008
    Publication date: July 16, 2009
    Applicant: ILLINOIS TOOL WORKS INC.
    Inventors: Eric Becker, Dirk Ellis
  • Patent number: 7553803
    Abstract: A method and composition for removing silicon-containing particulate material, such as silicon nitrides and silicon oxides, from patterned Si/SiO2 semiconductor wafer surfaces is described. The composition includes a supercritical fluid (SCF), an etchant species, a co-solvent, a surface passivator, a binder, deionized water, and optionally a surfactant. The SCF-based compositions substantially remove the contaminating particulate material from the wafer surface prior to subsequent processing, thus improving the morphology, performance, reliability and yield of the semiconductor device.
    Type: Grant
    Filed: March 1, 2004
    Date of Patent: June 30, 2009
    Assignee: Advanced Technology Materials, Inc.
    Inventors: Michael B. Korzenski, Thomas H. Baum
  • Patent number: 7537705
    Abstract: A method for treating feedwater is provided. The method includes steps of introducing a treatment composition into a feedwater stream to provide a treated feedwater stream containing scale inhibitor at a concentration of at least about 0.1 ppm, and combining the treated feedwater stream with a detersive composition. The scale inhibitor can include a phosphate scale inhibitor, a carbonate scale inhibitor, or a combination of a phosphate scale inhibitor and a carbonate scale inhibitor. The detersive composition can be provided as a cleaning composition, a rinse agent composition, or a drying agent composition. A treatment composition and an apparatus for treating feedwater are provided.
    Type: Grant
    Filed: March 21, 2008
    Date of Patent: May 26, 2009
    Assignee: Ecolab Inc.
    Inventors: Ellen Voeller, legal representative, Michael E. Besse, Brenda L. Tjelta, William G. Mizuno
  • Publication number: 20090090392
    Abstract: The invention provides a method of cleaning the surface (3) of a wafer (1), comprising a hot rinse step in which the wafer (1) is at a temperature that is at least 100C higher than room temperature, the wafer (1) is rotated around an axis perpendicular to the wafer surface (3) and water is dispensed on the wafer surface (3). Thereafter a first drying step is performed in which the wafer (1) is rotated around the axis perpendicular to the wafer surface (3) and in which the humidity of the environment is such that the water on the wafer surface (3) is partially removed while the wafer surface (3) remains covered with a film of water (13). The first drying step is followed by a second drying step, which removes the film of water (13) from the wafer surface (3). The method according to the invention advantageously reduces metal ion contamination on the wafer surface (3).
    Type: Application
    Filed: March 13, 2007
    Publication date: April 9, 2009
    Applicant: NXP B.V.
    Inventors: Ingrid Rink, Dirk M. Knotter, Gilbert P. A. Noij
  • Publication number: 20090084403
    Abstract: A deposit adhered to a rear surface peripheral portion of a substrate is easily and reliably removed, and a cycle of maintenance such as an exchange or a cleaning of a member required for removing the deposit is extended. An outer peripheral surface of a first cleaning rotational body having a roughly cylindrical shape has an adhesive property, and is brought into contact with a substrate from its side surface to its rear surface peripheral portion. Further, an outer peripheral surface of a second cleaning rotational body, which has an adhesive property stronger than that of the outer peripheral surface of the first cleaning rotational body, is brought into contact with the outer peripheral surface of the first cleaning rotational body, and the substrate, the first cleaning rotational body and the second cleaning rotational body are integrally rotated.
    Type: Application
    Filed: September 23, 2008
    Publication date: April 2, 2009
    Applicant: TOKYO ELECTRON LIMITED
    Inventor: Takehiro Shindo
  • Patent number: 7507297
    Abstract: A cleaning effect is improved by cleaning a component that has a recess structure by using a cleaning medium of a liquefied gas or a supercritical fluid. By the cleaning method of removing adhering substances adhering to at least the surface of the recess structure of the component that has the recess structure, cleaning is carried out by using the supercritical gas or the liquefied gas so that the cleaning medium spreads over the surface of the recess structure.
    Type: Grant
    Filed: May 12, 2003
    Date of Patent: March 24, 2009
    Assignee: Panasonic Corporation
    Inventors: Yousuke Irie, Kiyoyuki Morita, Masaaki Suzuki, Akihisa Adachi, Masahiko Hashimoto
  • Patent number: 7491362
    Abstract: The liquid composition is based on surfactants and enzymes, and is particularly useful for manual cleaning of instruments. In manual and ultrasonic application the composition in use concentration shows low foaming and gives a cleaning solution which is not cloudy at least at a temperature in the range from 16° C. to 40° C. In addition, the composition has good cleaning efficiacy over a broad temperature range (20 to 55° C.) and shows good material compatibility.
    Type: Grant
    Filed: January 28, 2008
    Date of Patent: February 17, 2009
    Assignee: Ecolab Inc.
    Inventors: Laurence Geret, Carola Stingl, Silke Denzin
  • Publication number: 20090000044
    Abstract: An apparatus for use in processing a substrate includes a brush enclosure extending over a length. The brush enclosure is configured to be disposed over a surface of the substrate and has an open region that is configured to be disposed in proximity to the substrate. The open region extends over the length of the brush enclosure and enables foam from within the brush enclosure to contact the surface of the substrate. A substrate cleaning system and method for cleaning a substrate are also described.
    Type: Application
    Filed: September 14, 2008
    Publication date: January 1, 2009
    Inventors: John M. de Larios, Aleksander Owczarz, Alan Schoepp, Fritz Redeker
  • Patent number: 7469703
    Abstract: An motorized stain-removal brush is provided. A method of using motorized stain-removal brush for cleaning inanimate surfaces is also provided. The motorized stain-removal brush includes a handle having a motor disposed therein, a head having a longitudinal axis, and a neck disposed between the handle and the head. Bristle holders are associated with the head. The motor is operatively connected to the bristle holder.
    Type: Grant
    Filed: January 22, 2004
    Date of Patent: December 30, 2008
    Assignee: The Procter & Gamble Company
    Inventors: Paul Amaat Raymond Gerard France, Bradley Wayne Daubenspeck, Linda Shumansky Girard, Stephen Allen Jacobs, Roberto Mastrigli, Neil Anthony Litten, Graham John Boyd, Gregory Clegg Spooner
  • Patent number: 7467633
    Abstract: The present invention provides a surfactant blend that includes an extended chain surfactant and high HLB nonionic surfactant. The surfactant blend may be incorporated into household and industrial-institutional cleaning products to solubilize hard to remove oily stains and soil from a variety of surfaces.
    Type: Grant
    Filed: March 10, 2006
    Date of Patent: December 23, 2008
    Assignee: Huntsman Petrochemical Corporation
    Inventors: George A. Smith, Katie R. Hand
  • Patent number: 7467635
    Abstract: A substrate processing apparatus 10 includes a holding table 20 for rotatably holding a wafer W, a nozzle 40 for supplying chemical solutions L1 and L2 to the wafer W, at least one light irradiation units G1 and G2, and a pot 30 placed in the outer radius of the holding table 20for collecting the processing solutions L1 and L2 that are scattered from the wafer W. The pot 30 also includes a cover 70 that can be moved in the direction of the axis of the holding table 20so that a plurality of chemical solution collecting chambers M1 and M2 are formed in the pot by changing the position of the cover 70.
    Type: Grant
    Filed: May 11, 2004
    Date of Patent: December 23, 2008
    Assignee: Sprout Co., Ltd.
    Inventors: Joya Satoshi, Kazuyoshi Takeda, Tohru Watari
  • Patent number: 7462248
    Abstract: A method for cleaning a photomask includes cleaning the photomask with a chemical cleaner, introducing a solution to the photomask, the solution is configured to react with residuals generated from the chemical cleaner to form insoluble precipitates, and rinsing the photomask with a fluid to remove the insoluble precipitates from the photomask.
    Type: Grant
    Filed: February 6, 2007
    Date of Patent: December 9, 2008
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Chih-Cheng Chiu, Ching-Yu Chang
  • Patent number: 7452426
    Abstract: Process solutions comprising one or more surfactants are used to reduce the number of defects in the manufacture of semiconductor devices. In certain preferred embodiments, the process solution of the present invention may reduce defects when employed as a rinse solution either during or after the development of the CMP processing. Also disclosed is a method for reducing the number of defects on a plurality of post-CMP processed substrates employing the process solution of the present invention.
    Type: Grant
    Filed: September 12, 2006
    Date of Patent: November 18, 2008
    Assignee: Air Products and Chemicals, Inc.
    Inventors: Peng Zhang, Brenda Faye Ross
  • Patent number: 7435302
    Abstract: A cleaning apparatus according to the present invention is provided with a brush drive mechanism which brings a brush being rotating closer to a substrate, measures electrical potentials generated on a plurality of conductor patterns formed on the substrate, by a contact and separation with/from tips of scrub materials of the brush being rotating, and controls a positioning of the brush by use of the measurement results. With the process as described above, it is possible to treat uniformly a surface to-be-cleaned for a large-sized substrate, with the cleaning brush. Consequently, it is possible to form a highly qualified transistor for liquid crystal display on the substrate having been cleaned, with enhancing yield.
    Type: Grant
    Filed: August 10, 2004
    Date of Patent: October 14, 2008
    Assignee: Hitachi Displays, Ltd.
    Inventors: Yoichi Takahara, Masahiro Yamada, Noriyuki Ohroku, Shoji Asaka, Tomoaki Takahashi, Hiroshi Kawanago, Hideaki Yamamoto
  • Patent number: 7435301
    Abstract: Disclosed are a cleaning solution for preventing damage of a silicon germanium layer when cleaning a semiconductor device including the silicon germanium layer and a cleaning method using the same. The cleaning solution of a silicon germanium layer includes from about 0.01 to about 2.5 percent by weight of a non-ionic surfactant with respect to 100 percent by weight of the cleaning solution, about 0.05 to about 5.0 percent by weight of an alkaline compound with respect to the cleaning solution and a remaining amount of pure water. The damage to an exposed silicon germanium layer can be prevented when cleaning a silicon substrate having a silicon germanium layer. Impurities present on the surface portion of the silicon germanium layer can be effectively removed.
    Type: Grant
    Filed: April 13, 2005
    Date of Patent: October 14, 2008
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Chang-Sup Mun, Doo-Won Kwon, Hyung-Ho Ko, Chang-Ki Hong, Sang-Jun Choi
  • Publication number: 20080223411
    Abstract: A substrate processing apparatus of a simplified structure, which is capable of decreasing an amount of a process liquid to be used, and of restraining change in temperature of the process liquid is provided. The substrate processing apparatus includes: a processing unit that holds one substrate and processes the substrate held by the processing unit; a processing bath capable of simultaneously accommodating a plurality of substrates, the processing bath storing a process liquid into which a substrate is immersed so as to be processed, the process liquid being circulatingly supplied to the processing bath; and a transfer unit that simultaneously transfers substrates whose number is less than the number of substrate that can be accommodated in the processing bath. The transfer unit transfers, at least, to the processing bath in which the process liquid is stored. A substrate is processed with the use of at least one of the processing unit and the processing bath.
    Type: Application
    Filed: March 4, 2008
    Publication date: September 18, 2008
    Inventor: Shori Mokuo
  • Patent number: 7416611
    Abstract: In a method and apparatus for cleaning or processing a workpiece, a process gas is brought into contact with the workpiece by diffusion through a heated liquid layer on the workpiece, and by bulk transport achieved by entraining the gas in a liquid stream, spray or jet impinging on the workpiece. The process gas, which may be ozone, is entrained in the liquid via entrainment nozzles. Use of entrainment and diffusion together increases the amount of gas available for reaction at the workpiece surface, increases the reaction rate, and decreases required process times.
    Type: Grant
    Filed: June 18, 2004
    Date of Patent: August 26, 2008
    Assignee: Semitool, Inc.
    Inventor: Eric J. Bergman
  • Patent number: 7417018
    Abstract: The invention relates to a method of cleaning a solid surface comprising the following stages: a) the solid surface is cleaned using a microemulsion-type cleaning composition; e) the cleaned surface is drained; f) the drained surface is rinsed with an organic solvent or a mixture of organic solvents with a low boiling point; and g) said surface which was rinsed with the organic solvent or the mixture of organic solvents used in c) is then dried.
    Type: Grant
    Filed: January 7, 2002
    Date of Patent: August 26, 2008
    Assignee: ATOFINA
    Inventors: Pascal Michaud, Jean-Claude Lheureux
  • Publication number: 20080196741
    Abstract: The present invention resides in a washing device constructed by a conveyer of a carrying-in side arranged in a frame, a reservoir lower portion having plural rails for holding the work and an ultrasonic generator arranged in this frame, each reservoir upper portion united with this reservoir lower portion, a raising-lowering device for raising and lowering each reservoir upper portion, an arm mechanism for work conveyance, a moving device for controlling advancing and retreating movements of the arm mechanism, a movable device for controlling a swinging return of the arm mechanism, and a conveyer of a carrying-out side for conveying a processed work. The conveyer and the rail of each reservoir lower portion are approximately arranged on the same face. The work is horizontally moved through this conveyer and the rail and is washed and dried by utilizing the union of each reservoir lower portion and each reservoir upper portion.
    Type: Application
    Filed: October 3, 2007
    Publication date: August 21, 2008
    Applicant: Fine Machine Kataoka Co., Ltd.
    Inventor: Keiji Kataoka
  • Patent number: 7410545
    Abstract: A substrate immersed in pure water held inside a processing bath disposed to a cleaning unit 1 and accordingly washed, as it bears an aqueous film on its surface, is transported by a substrate transportation mechanism 3 to a spin-processing unit 2. The substrate rotates in the spin-processing unit 2, thereby adjusting the thickness of the aqueous film on the substrate surface. As the aqueous film is then frozen, the volume of the aqueous film expands, adhesion between the substrates and particles adhering to the substrate surface becomes weak, or further the particles are separated from the substrate surface. The substrate transportation mechanism 3 transports thus frozen substrate to the cleaning unit 1 from the spin-processing unit 2, and the substrate is immersed in a processing liquid held inside the processing bath. The overflowing processing liquid thaws and removes the aqueous film, and particles on the substrate surface are discharged outside the substrate.
    Type: Grant
    Filed: May 11, 2006
    Date of Patent: August 12, 2008
    Assignee: Dainippon Screen Mfg. Co., Ltd.
    Inventor: Hideaki Matsubara
  • Patent number: 7410543
    Abstract: Resists can be removed while metal contamination of wafers, etc. and generation of particles, and growth of oxide films are suppressed. A substrate processing method comprises feeding a processing gas, such as ozone gas, into a processing vessel to pressurize an atmosphere surrounding a substrate. A solvent gas, such as steam, is fed into the processing vessel while the processing gas is fed into the processing vessel, whereby a resist of the substrate can be removed with the solvent gas and the processing gas while metal corrosion, etc. can be prevented.
    Type: Grant
    Filed: October 1, 2004
    Date of Patent: August 12, 2008
    Assignee: Tokyo Electron Limited
    Inventors: Takayuki Toshima, Naoki Shindo, Tadashi Iino
  • Patent number: 7404863
    Abstract: A method of thinning a silicon wafer in a controllable cost-effective manner with minimal chemical consumption. The wafer is placed into a process chamber, after which ozone gas and HF vapor are delivered into the process chamber to react with a silicon surface of the wafer. The ozone and HF vapor may be delivered sequentially, or may be mixed with one another before entering the process chamber. The ozone oxidizes the silicon surface of the wafer, while the HF vapor etches the oxidized silicon away from the wafer. The etched oxidized silicon is then removed from the process chamber. As a result, the wafer is thinned, which aids in preventing heat build-up in the wafer, and also makes the wafer easier to handle and cheaper to package. In alternative embodiments, HF may be delivered into the process chamber as an anhydrous gas or in aqueous form.
    Type: Grant
    Filed: July 30, 2003
    Date of Patent: July 29, 2008
    Assignee: Semitool, Inc.
    Inventor: Eric J. Bergman
  • Publication number: 20080128002
    Abstract: The present invention relates to apparatus and methods for removing powder from the exterior of capsules. An exemplary capsule removal apparatus includes an input for receiving the one or more capsules having powder thereon. Connected to the input is a screen that is configured to remove the dust from the capsules. The screen may include a plurality of openings therein through which the powder can fall from the capsules. A vibration motor may also cause the screen to vibrate to facilitate the removal of the powder. The screen may further be contained within a housing having a powder collector connected thereto. The powder collector may be a sliding tray within the housing in which the powder is collected as it falls through the screen, thereby allowing the collected powder to be accounted for and optionally reused.
    Type: Application
    Filed: December 4, 2006
    Publication date: June 5, 2008
    Inventor: Dawnette E. Jeffs
  • Publication number: 20080121253
    Abstract: A bin for use in a dishwasher machine is described. The bin comprises a pair of opposing side panels, a rear panel connected to each of the pair of opposing side panels, a front panel connected to each of the pair of opposing side panels, and a bottom panel connected to (a) each of the pair of opposing side panels, (b) the rear panel, and (c) the front panel. Each side panel comprises at least one foot along a lower edge and each side panel defines at least one depression along an upper edge.
    Type: Application
    Filed: November 28, 2006
    Publication date: May 29, 2008
    Inventor: Craig R. Shotwell
  • Patent number: 7360546
    Abstract: A cleaning apparatus for a semiconductor wafer comprising: a double container including an inner container with an upper opening for accommodating a substrate to be cleaned and an outer container having an airtight space accommodating the inner container therein, the inner container being communicated to the outer container through the upper opening; a cleaning liquid supply conduit for supplying a cleaning liquid into the inner container; an inner container drain conduit for draining the cleaning liquid from the inner container; a solvent-containing gas supply conduit for supplying a solvent-containing gas into the inner container for drying the substrate; a solvent-resolving gas supply conduit for supplying a solvent-resolving gas into the inner container for resolving a solvent component attached on the substrate; an exhaust pipe for exhausting the gases from the double container, and an outer container drain conduit for draining the liquid spilled from the inner container to the outer container.
    Type: Grant
    Filed: April 3, 2003
    Date of Patent: April 22, 2008
    Assignee: Sharp Kabushiki Kaisha
    Inventor: Minoru Doi
  • Publication number: 20080035168
    Abstract: A process for removing the coating layers from finished golf balls is disclosed herein. The process involves soaking the golf balls in a removal solution and then subjecting the golf balls to ultrasonic treatment in order to remove a coating layer, an indicia and/or a paint layer.
    Type: Application
    Filed: August 8, 2007
    Publication date: February 14, 2008
    Applicant: CALLAWAY GOLF COMPANY
    Inventors: PAUL WATERMAN, MARIO GODBOUT
  • Patent number: 7306680
    Abstract: A lithographic mask is placed in a chamber which is then sealed. The gas pressure in the chamber is reduced to dislodge contaminant particles on the mask surface.
    Type: Grant
    Filed: September 10, 2003
    Date of Patent: December 11, 2007
    Assignee: ASML Netherlands B.V.
    Inventor: Gert-Jan Heerens
  • Patent number: 7293567
    Abstract: A method and apparatus are disclosed for improving a screen printing process by applying vibrational energy to assist in the print release, cleaning, and drying processes. The vibrational energy or acoustic pressure waves may be created by a transducer where the waves are transferred to the stencil or printable material through air or a vibrational interface medium. The vibrational energy in turn assists with separating the printable material from the side walls of the apertures of the stencil. The vibrational energy can further assist in the process of cleaning the stencil. The acoustic pressure can also be used in the drying process by having the waves impinge on the water droplets to atomize the droplets on the surface of the stencil. The technology can be used for the assembly of Printed Circuit Assemblies, Ball Grid Array IC Packages, Flip Chip, etc.
    Type: Grant
    Filed: December 16, 2003
    Date of Patent: November 13, 2007
    Inventors: Allen David Hertz, Eric Lee Hertz, Dennis D. Epp
  • Patent number: 7282099
    Abstract: Method for processing an article by contacting the article with a dense fluid. The article is introduced into a sealable processing chamber and the processing chamber is sealed. A dense fluid is prepared by introducing a subcritical fluid into a pressurization vessel and isolating the vessel, and then heating the subcritical fluid at essentially constant volume and essentially constant density to yield a dense fluid. At least a portion of the dense fluid is transferred from the pressurization vessel to the processing chamber, wherein the transfer of the dense fluid is driven by the difference between the pressure in the pressurization vessel and the pressure in the processing chamber, thereby pressurizing the processing chamber with transferred dense fluid. The article is contacted with the transferred dense fluid to yield a spent dense fluid and a treated article, and the spent dense fluid is separated from the treated article.
    Type: Grant
    Filed: September 24, 2002
    Date of Patent: October 16, 2007
    Assignee: Air Products and Chemicals, Inc.
    Inventors: Wayne Thomas McDermott, Richard Carl Ockovic, Alexander Schwarz
  • Patent number: 7279050
    Abstract: A one-piece sponge cleans and removes coating material from the bottom edge, inside and outside surface of a photoreceptor drum. The one-piece sponge has an inner sponge section and an outer sponge section, both with internal channels to remove solvent and dissolved coating material.
    Type: Grant
    Filed: July 16, 2003
    Date of Patent: October 9, 2007
    Assignee: Xerox Corporation
    Inventors: Jean D. Van Epps, Jr., Michael J. Duggan, James R. Lee, Steven D. Bush
  • Patent number: 7264680
    Abstract: A method for cleaning a semiconductor workpiece having a metal layer in a processing chamber includes the steps of introducing a liquid solution including dissolved carbon dioxide onto the workpiece, and introducing ozone into the processing chamber. The ozone oxidizes contaminants on the workpiece, while the carbon dioxide inhibits corrosion of the metal layer. The liquid solution is preferably heated to a temperature greater than 40° C., and preferably comprises deionized water injected with carbon dioxide gas. The workpiece is preferably rotated within the processing chamber during the cleaning process. The ozone may be entrained in the liquid solution before the liquid solution is introduced onto the workpiece, or the ozone may be introduced separately into the processing chamber.
    Type: Grant
    Filed: June 3, 2004
    Date of Patent: September 4, 2007
    Assignee: Semitool, Inc.
    Inventors: Thomas Maximilia Gebhart, Eric J. Bergman
  • Patent number: 7258747
    Abstract: A method of using an electric stainbrush for cleaning inanimate surfaces is provided. The electric stainbrush includes a handle having a motor disposed therein, a head having a longitudinal axis, and a neck disposed between the handle and the head. First and second bristle holders are associated with the head. The first bristle holder oscillates or rotates. The second bristle holder reciprocates in generally the same direction as the longitudinal axis of the head but does not rotate or oscillate. The motor is operatively connected to the first and second bristle holders.
    Type: Grant
    Filed: September 11, 2003
    Date of Patent: August 21, 2007
    Assignee: The Procter & Gamble Company
    Inventors: James Charles Vago, Stephen Allen Jacobs, Paul Amaat Raymond Gerard France
  • Patent number: 7255749
    Abstract: In a cleaning treatment of a substrate using an aqueous solution of ammonium fluoride or a mixture of an aqueous solution of ammonium fluoride and hydrofluoric acid as a cleaning liquid, the cleaning liquid is replenished by at least one liquid selected from the group consisting of water, ammonia, aqueous ammonia, and an aqueous solution of ammonium fluoride with the lapse of time during the use of the cleaning liquid, in which the required amount of the liquid to be added according to the time lapse is calculated based on the measurement date and controlled, or the component concentration of the cleaning liquid is detected and the liquid is added according to the obtained result, so that not only can the substrate be cleaned uniformly and stably, but also a resource saving and a reduction in waste can be achieved.
    Type: Grant
    Filed: September 9, 2004
    Date of Patent: August 14, 2007
    Assignee: Sony Corporation
    Inventors: Yasuhito Inagaki, Mineo Shimizu, Yoshihiro Fujitani
  • Patent number: 7250086
    Abstract: A preferred embodiment method for dispensing a use solution from a solid product into a dishwashing machine includes placing a solid product in a dispenser. The product dispenser (10) for dispensing a use solution from a solid product includes a chamber (11) having a front (12), a back (15), and a bottom (16). The chamber (11) defines a cavity (20) configured and arranged to receive a solid product and a diluent. An inlet (21) proximate the back (15) of the chamber (11) is configured and arranged to receive the diluent, and an outlet portion (26) is in fluid communication with the inlet (21). The outlet portion (26) spans a length of the back (15) and includes a plurality of apertures (27) along the length of the back (15). The plurality of apertures (27) allows diluent to fan out along the back (15), flow down the back (15), and cascade evenly with relatively even pressure from proximate the back (15) toward proximate the front (12) of the chamber (11).
    Type: Grant
    Filed: December 8, 2003
    Date of Patent: July 31, 2007
    Assignee: Ecolab Inc.
    Inventors: John P. Furber, Yvonne M. Killeen
  • Patent number: 7235141
    Abstract: A lift-off procedure is provided which enables prevention of damage to a wiring pattern caused by contact of a metal being peeled off from a wafer with a wiring pattern at a time of lift-off procedure. A wafer having a surface on which a pattern is formed which contains a pattern portion to be removed is soaked into a chemical liquid at an angle at which the surface faces downward.
    Type: Grant
    Filed: April 22, 2005
    Date of Patent: June 26, 2007
    Assignee: Oki Electric Industry Co., Ltd.
    Inventors: Masaru Suzuki, Yoshiki Nitta, Kazuhiko Ohmuro
  • Patent number: 7226512
    Abstract: A substrate is transferred from an environment at about vacuum into a load lock through a first door. The substrate is then sealed within the load lock. The pressure within the load lock is raised to a high pressure above vacuum. A second door coupling the load lock to a high-pressure processing chamber is then opened and the substrate moved from the load lock into the high-pressure chamber. The substrate is then sealed within the high-pressure chamber. High-pressure processing, such as high pressure cleaning or high pressure deposition, is then performed on the substrate within the high-pressure chamber. Subsequently, the second door is opened and the substrate transferred into the load lock. The substrate is then sealed within the load lock. The pressure within the load lock is lowered to about vacuum and the first door opened. The substrate is then removed from the load lock into the environment.
    Type: Grant
    Filed: June 18, 2003
    Date of Patent: June 5, 2007
    Assignee: EKC Technology, Inc.
    Inventors: Michael A. Fury, Robert W. Sherrill
  • Patent number: 7208049
    Abstract: Process solutions comprising one or more surfactants are used to reduce the number of defects in the manufacture of semiconductor devices. In certain preferred embodiments, the process solution of the present invention may reduce defects when employed as a rinse solution either during or after the development of the CMP processing. Also disclosed is a method for reducing the number of defects on a plurality of post-CMP processed substrates employing the process solution of the present invention.
    Type: Grant
    Filed: October 20, 2003
    Date of Patent: April 24, 2007
    Assignee: Air Products and Chemicals, Inc.
    Inventors: Peng Zhang, Brenda Faye Ross
  • Patent number: 7195021
    Abstract: A method for cleaning optics in a chamber. The method can include introducing a first etchant into a chamber that encloses an optical component and a source of electromagnetic radiation that is suitable for lithography, ionizing the first etchant, and removing debris from a surface of the optical component.
    Type: Grant
    Filed: August 30, 2005
    Date of Patent: March 27, 2007
    Assignee: Intel Corporation
    Inventors: Michael Chan, Robert Bristol, Mark Doczy
  • Patent number: 7172975
    Abstract: A process for the wet chemical treatment of semiconductor wafers, in which the semiconductor wafers are treated with treatment liquids, has the semiconductor wafers firstly treated with an aqueous HF solution, then treated with an aqueous O3 solution and finally treated with water or an aqueous HCl solution, these treatments forming a treatment sequence.
    Type: Grant
    Filed: October 22, 1999
    Date of Patent: February 6, 2007
    Assignee: Siltronic AG
    Inventors: Roland Brunner, Helmut Schwenk, Johann Zach
  • Patent number: 7163588
    Abstract: Contaminants such as photoresist are quickly removed from a wafer having metal features, using water, ozone and a base such as ammonium hydroxide. Processing is performed at room temperature to avoid metal corrosion. Ozone is delivered into a stream of process liquid or into the process environment or chamber. Steam may alternatively be used. A layer of liquid or vapor forms on the wafer surface. The ozone moves through the liquid layer via diffusion, entrainment, jetting/spraying or bulk transfer, and chemically reacts with the photoresist, to remove it.
    Type: Grant
    Filed: December 6, 2004
    Date of Patent: January 16, 2007
    Assignee: Semitool, Inc.
    Inventor: Eric J. Bergman
  • Patent number: 7141124
    Abstract: The present invention relates to process and methods, as well as compositions and systems for use in laundering smoke-damaged garments. In particular, the present invention utilizes ozonated water to treat the smoke-damaged garments.
    Type: Grant
    Filed: May 8, 2003
    Date of Patent: November 28, 2006
    Inventor: J. Michael Rusciolelli