Silicon Oxides Or Nitrides Patents (Class 427/579)
  • Publication number: 20120118204
    Abstract: A method for preparing a low dielectric constant (low k) material and a film thereof is provided. The method includes the following steps. A substrate is first put into a plasma generating reaction system, and a carrier gas carrying a carbon and fluorine containing silicon dioxide precursor is then introduced into the plasma generating reaction system, so that the carbon and fluorine containing silicon dioxide precursor is formed on the substrate. After that, the carbon and fluorine containing silicon dioxide precursor is converted to a low k material film through heating; meanwhile, a stress of the low k material film is eliminated such that the film has a more compact structure. By means of these steps the carbon and fluorine containing silicon dioxide precursor is still capable of forming a low k material film of silicon dioxide containing a large amount of fluorocarbon, even under various different atmospheres.
    Type: Application
    Filed: September 23, 2011
    Publication date: May 17, 2012
    Inventors: Cheng-Jye CHU, Chih-Hung CHEN
  • Patent number: 8178448
    Abstract: Disclosed is a method for using a film formation apparatus to form a silicon nitride film by CVD on target substrates while suppressing particle generation. The apparatus includes a process container and an exciting mechanism attached on the process container. The method includes conducting a pre-coating process by performing pre-cycles and conducting a film formation process by performing main cycles. Each of the pre-cycles and main cycles alternately includes a step of supplying a silicon source gas and a step of supplying a nitriding gas with steps of exhausting gas from inside the process container interposed therebetween. The pre-coating process includes no period of exciting the nitriding gas by the exciting mechanism. The film formation process repeats a first cycle set that excites the nitriding gas by the exciting mechanism and a second cycle that does not excite the nitriding gas by the exciting mechanism.
    Type: Grant
    Filed: August 6, 2010
    Date of Patent: May 15, 2012
    Assignee: Tokyo Electron Limited
    Inventors: Nobutake Nodera, Masanobu Matsunaga, Kazuhide Hasebe, Koto Umezawa, Pao-Hwa Chou
  • Patent number: 8168270
    Abstract: An oxide film is formed on a target substrate by CVD, in a process field to be selectively supplied with a first process gas including a source gas containing a film source element and no amino group, a second process gas including an oxidizing gas, and a third process gas including a preliminary treatment gas. A first step includes an excitation period of supplying the third process gas excited by an exciting mechanism, thereby performing a preliminary treatment on the target substrate by preliminary treatment gas radicals. A second step performs supply of the first process gas, thereby adsorbing the film source element on the target substrate. A third step includes an excitation period of supplying the second process gas excited by an exciting mechanism, thereby oxidizing the film source element adsorbed on the target substrate by oxidizing gas radicals.
    Type: Grant
    Filed: September 5, 2007
    Date of Patent: May 1, 2012
    Assignee: Tokyo Electron Limited
    Inventors: Kazuhide Hasebe, Yoshihiro Ishida, Takehiko Fujita, Jun Ogawa, Shigeru Nakajima
  • Publication number: 20120058282
    Abstract: A method of forming a conformal dielectric film having Si—N bonds on a substrate having a patterned surface includes: introducing a reactant gas into a reaction space; introducing a silicon precursor in pulses of less than 5-second duration into the reaction space; applying a first RF power to the reaction space during the pulse of the silicon precursor; applying a second RF power to the reaction space during the interval of the silicon precursor pulse, wherein an average intensity of the second RF power during the interval of the silicon precursor pulse is greater than that of the first RF power during the pulse of the silicon precursor; and repeating the cycle to form a conformal dielectric film having Si—N bonds with a desired thickness on the patterned surface of the substrate.
    Type: Application
    Filed: September 3, 2010
    Publication date: March 8, 2012
    Applicant: ASM JAPAN K.K.
    Inventors: Kuo-wei Hong, Akira Shimizu, Kunitoshi Namba, Woo-Jin Lee
  • Publication number: 20120045593
    Abstract: In a plasma CVD apparatus, unnecessary discharge such as arc discharge is prevented, the amount of particles due to peeling of films attached to a reaction chamber is reduced, and the percentage of a time contributing to production in hours of operation of the apparatus is increased while enlargement of the apparatus and easy workability are maintained. The plasma CVD apparatus is configured such that in a conductive reaction chamber 104 with a power source 113, a vacuum exhausting means 118, and a reaction gas introduction pipe 114, plasma 115 is generated in a space surrounded by an electrode 111, a substrate holder 112, and an insulator 120.
    Type: Application
    Filed: November 2, 2011
    Publication date: February 23, 2012
    Applicant: SEMICONDUCTOR ENERGY LABORATORY CO., LTD.
    Inventors: Shunpei YAMAZAKI, Toru TAKAYAMA, Mitsunori SAKAMA, Hisashi ABE, Hiroshi UEHARA, Mika ISHIWATA
  • Patent number: 8119250
    Abstract: A flexible substrate for a TFT includes a metal substrate having a predetermined coefficient of thermal expansion, and a buffer layer on the metal substrate, the buffer layer including a silicon oxide or a silicon nitride, wherein the predetermined coefficient of thermal expansion of the metal substrate satisfies an equation as follows, ? f + 0.162 × ( 1 - v f ) E f ? ? s ? ? f + 0.889 × ( 1 - v f ) E f Ef representing Young's modulus of the buffer layer, vf representing Poisson's ratio of the buffer layer, ?f representing a coefficient of thermal expansion of the buffer layer, and ?s representing the predetermined coefficient of thermal expansion of the metal substrate.
    Type: Grant
    Filed: February 26, 2009
    Date of Patent: February 21, 2012
    Assignee: Samsung Mobile Display Co., Ltd.
    Inventors: Jae-Seob Lee, Dong-Un Jin, Yeon-Gon Mo, Tae-Woong Kim
  • Patent number: 8114484
    Abstract: Methods for forming a film stack suitable for transistor fabrication using a low temperature plasma enhanced chemical vapor deposition (PECVD) process are provided. In one embodiment, the method includes providing a substrate in a PECVD chamber, depositing a dual layer SiNx film on the substrate, depositing a dual layer amorphous silicon film on the SiNx film, and depositing a n-doped silicon film on the dual layer amorphous silicon film. The aforementioned films are deposited at a temperature less than about 300 degrees Celsius in the same PECVD chamber.
    Type: Grant
    Filed: August 4, 2007
    Date of Patent: February 14, 2012
    Assignee: Applied Materials, Inc.
    Inventors: Ya-Tang Yang, Tae Kyung Won, Soo Young Choi, Takako Takehara, John M. White
  • Publication number: 20120027956
    Abstract: A method of forming a nitride film is disclosed. In one embodiment, the method comprises performing an ending film deposition process that differs from the main film deposition process in terms of the flow rates of the reactive and ion source gases, and maintaining acceleration power of a CVD tool during the ending film deposition process. A post deposition process may also be used to remove a denser top layer of nitride, resulting in a nitride film having a consistent density.
    Type: Application
    Filed: July 29, 2010
    Publication date: February 2, 2012
    Applicant: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Daewon Yang, Anthony Gene Domenicucci, Aurelia Suwarno-Handayana, Shamas Musthafa Ummer
  • Patent number: 8105661
    Abstract: A method of forming a porous film on a processing target includes: forming fine organic particles by polymerizing an organic compound in a gaseous phase; mixing the fine organic particles with a silicon compound containing a Si—O bond in a gaseous phase, thereby depositing a film containing the fine particles on the processing target; and removing the fine organic particles from the film.
    Type: Grant
    Filed: November 14, 2006
    Date of Patent: January 31, 2012
    Assignees: ASM Japan K.K., Ulvac, Inc., NEC Corporation
    Inventors: Yasuyoshi Hyodo, Kazuo Kohmura, Nobutoshi Fujii, Nobutaka Kunimi, Keizo Kinoshita
  • Patent number: 8101246
    Abstract: A device for carrying out a plasma enhanced process includes, within a vacuum chamber, at least one magnetron electrode (32) constituting an unbalanced magnetron having a flat magnetron face (20) with peripheral and central magnetic poles of opposite polarities connected to a source (34) of alternating voltage. The device further includes a device for positioning a substrate (25), the substrate having a surface to be treated facing the magnetron face (20), and a gas supply device for supplying a process gas or process gas mixture to the space between the magnetron face (20) and the treated surface.
    Type: Grant
    Filed: January 21, 2010
    Date of Patent: January 24, 2012
    Assignee: Tetral Laval Holdings & Finance S.A.
    Inventors: Pierre Fayet, Bertrand Jaccoud
  • Publication number: 20120015113
    Abstract: A method for forming a multi-layer silicon oxide film on a substrate includes performing a deposition cycle that comprises depositing a silicon oxide layer using a thermal chemical vapor deposition (CVD) process and depositing a silicon oxide layer using a plasma enhanced chemical vapor deposition (PECVD) process. The deposition cycle is repeated a specified number of times to form the multi-layer silicon oxide film comprising a plurality of silicon oxide layers formed using the thermal CVD process and a plurality of silicon oxide layers formed using the PECVD process. Each silicon oxide layer formed using the thermal CVD process is adjacent to at least one silicon oxide layer formed using the PECVD process.
    Type: Application
    Filed: July 13, 2010
    Publication date: January 19, 2012
    Applicant: Applied Materials, Inc.
    Inventors: Zhong Qiang Hua, Lei Luo, Manuel A. Hernandez, Shankar Venkataraman
  • Publication number: 20120009356
    Abstract: A method and apparatus for depositing a film through a plasma enhance chemical vapor deposition process is provided. In one embodiment, an apparatus includes a processing chamber having a coil disposed in the chamber and routed proximate the chamber wall. A liner is disposed over the coil and is protected by a coating of a material, wherein the coating of material has a film property similar to the liner. In one embodiment, the liner is a silicon containing material and is protected by the coating of the material. Thus, in the event that some of the protective coating of material is inadvertently sputtered, the sputter material is not a source of contamination if deposited on the substrate along with the deposited deposition film on the substrate.
    Type: Application
    Filed: September 21, 2011
    Publication date: January 12, 2012
    Inventors: Soo Young Choi, Qunhua Wang
  • Publication number: 20110318502
    Abstract: This invention relates to a method of depositing an inorganic SiO2 film at temperatures below 250° C. using plasma enhanced chemical vapour deposition (PECVD) in a chamber including supplying tetraethylorthosilicate (TEOS) and O2, or a source thereof, as precursors, with an O2/TEOS ratio of between 15:1 and 25:1.
    Type: Application
    Filed: December 20, 2010
    Publication date: December 29, 2011
    Applicant: SPP PROCESS TECHNOLOGY SYSTEMS UK LIMITED
    Inventors: Kathrine Giles, Andrew Price, Stephen Robert Burgess, Daniel Thoms Archard
  • Patent number: 8080290
    Abstract: A film formation method is used for forming a silicon nitride film on a target substrate by repeating a plasma cycle and a non-plasma cycle a plurality of times, in a process field configured to be selectively supplied with a first process gas containing a silane family gas and a second process gas containing a nitriding gas and communicating with an exciting mechanism for exciting the second process gas to be supplied. The method includes obtaining a relation formula or relation table that represents relationship of a cycle mixture manner of the plasma cycle and the non-plasma cycle relative to a film quality factor of the silicon nitride film; determining a specific manner of the cycle mixture manner based on a target value of the film quality factor with reference to the relation formula or relation table; and arranging the film formation process in accordance with the specific manner.
    Type: Grant
    Filed: January 14, 2009
    Date of Patent: December 20, 2011
    Assignee: Tokyo Electron Limited
    Inventors: Kazuhide Hasebe, Nobutake Nodera, Masanobu Matsunaga, Jun Satoh, Pao-Hwa Chou
  • Patent number: 8043668
    Abstract: Provided is a method for depositing a fluorine-doped silicon oxide film on the surface of a substrate made of a material comprising at least 50 mass % of an ethylene/tetrafluoroethylene copolymer. This method comprises flowing a mixed gas into between electrodes, exposing the mixed gas to electric power applied between the electrodes so that the electrical power density between the electrodes becomes from 0.5 to 1.1 W/cm3 to cause discharge, and forming plasma of the mixed gas and depositing the fluorine-doped silicon oxide film on the surface of the substrate. In this method, the mixed gas for forming the fluorine-doped silicon oxide film comprises silicon tetrafluoride, oxygen and a hydrocarbon, the atomic ratio of oxygen atoms to carbon atoms (O/C) is from 1 to 10, and the atomic ratio of oxygen atoms to silicon atoms (O/Si) is from 1.7 to 25 in the mixed gas.
    Type: Grant
    Filed: April 29, 2009
    Date of Patent: October 25, 2011
    Assignee: Asahi Glass Company, Limited
    Inventors: Seiji Higashi, Chikaya Tamitsuji
  • Publication number: 20110236594
    Abstract: Methods and hardware for depositing film stacks in a process tool in-situ (i.e., without a vacuum break or air exposure) are described. In one example, a method for depositing, on a substrate, a film stack including films of different compositions in-situ in a process station using a plasma is described, the method including, in a first plasma-activated film deposition phase, depositing a first layer of film having a first film composition on the substrate; in a second plasma-activated deposition phase, depositing a second layer of film having a second film composition on the first layer of film; and sustaining the plasma while transitioning a composition of the plasma from the first plasma-activated film deposition phase to the second plasma-activated film deposition phase.
    Type: Application
    Filed: December 16, 2010
    Publication date: September 29, 2011
    Inventors: Jason Haverkamp, Pramod Subramonium, Joe Womack, Dong Niu, Keith Fox, John Alexy, Patrick Breiling, Jennifer O'Loughlin, Mandyam Sriram, George Andrew Antonelli, Bart van Schravendijk
  • Publication number: 20110236600
    Abstract: Methods and hardware for depositing ultra-smooth silicon-containing films and film stacks are described. In one example, an embodiment of a method for forming a silicon-containing film on a substrate in a plasma-enhanced chemical vapor deposition apparatus is disclosed, the method including supplying a silicon-containing reactant to the plasma-enhanced chemical vapor deposition apparatus; supplying a co-reactant to the plasma-enhanced chemical vapor deposition apparatus; supplying a capacitively-coupled plasma to a process station of the plasma-enhanced chemical vapor deposition apparatus, the plasma including silicon radicals generated from the silicon-containing reactant and co-reactant radicals generated from the co-reactant; and depositing the silicon-containing film on the substrate, the silicon-containing film having a refractive index of between 1.4 and 2.1, the silicon-containing film further having an absolute roughness of less than or equal to 4.5 ? as measured on a silicon substrate.
    Type: Application
    Filed: December 16, 2010
    Publication date: September 29, 2011
    Inventors: Keith Fox, Dong Niu, Joe Womack, Mandyam Sriram, George Andrew Antonelli, Bart van Schravendijk, Jennifer O'Loughlin
  • Publication number: 20110223358
    Abstract: The method of manufacturing a gas barrier film feeds long lengths of a substrate and forms a silicon nitride film as the gas barrier film on the substrate by a capacitively coupled plasma-enhanced CVD technique while transporting the substrate in a longitudinal direction. Gaseous raw materials using in the forming step of the silicon nitride film includes at least silane gas and ammonia gas, and a ratio P/Q [W/sccm] is not less than 1 when a flow rate of the silane gas is denoted as Q [sccm] and a power input for generating a capacitively coupled plasma is denoted as P [W], a tension applied to the substrate transported between two transporting elements is not more than 100 [N/m], and a pair of electrodes for at least forming the silicon nitride film on the substrate is interposed between the two transporting elements.
    Type: Application
    Filed: March 9, 2011
    Publication date: September 15, 2011
    Applicant: FUJIFILM CORPORATION
    Inventor: Tatsuya FUJINAMI
  • Publication number: 20110217527
    Abstract: A gas barrier film includes: a base film; and a silicon nitride layer deposited on a surface of the base film, wherein in a direction of a thickness of the silicon nitride layer, a first mean density of a region of the silicon nitride layer closer to the base film and having a 20% thickness of the silicon nitride layer is higher than a second mean density of a region opposite from the base film and having a 20% thickness of the silicon nitride layer, and a third mean density of a middle region having a 20% thickness of the silicon nitride layer lies between the first mean density and the second mean density.
    Type: Application
    Filed: March 4, 2011
    Publication date: September 8, 2011
    Applicant: FUJIFILM Corporation
    Inventors: Yoshihiko MOCHIZUKI, Hiroyuki NISHIDA
  • Patent number: 8003174
    Abstract: A method of forming a dielectric film, includes: introducing a siloxane gas essentially constituted by Si, O, C, and H and a silazane gas essentially constituted by Si, N, H, and optionally C into a reaction chamber where a substrate is placed; depositing a siloxane-based film including Si—N bonds on the substrate by plasma reaction; and annealing the siloxane-based film on the substrate in an annealing chamber to remove Si—N bonds from the film.
    Type: Grant
    Filed: December 13, 2007
    Date of Patent: August 23, 2011
    Assignee: ASM Japan K.K.
    Inventors: Atsuki Fukazawa, Woo Jin Lee, Nobuo Matsuki
  • Patent number: 7985188
    Abstract: Methods for processing a vessel, for example to provide a gas barrier or lubricity, are disclosed. First and second PECVD or other vessel processing stations or devices and a vessel holder comprising a vessel port are provided. An opening of the vessel can be seated on the vessel port. The interior surface of the seated vessel can be processed via the vessel port by the first and second processing stations or devices. Vessel barrier, lubricity and hydrophobic coatings and coated vessels, for example syringes and medical sample collection tubes are disclosed. A vessel processing system and vessel inspection apparatus and methods are also disclosed.
    Type: Grant
    Filed: May 12, 2010
    Date of Patent: July 26, 2011
    Assignee: CV Holdings LLC
    Inventors: John T. Felts, Thomas E. Fisk, Robert S. Abrams, John Ferguson, Johathan R. Freedman, Robert J. Pangborn, Peter J. Sagona
  • Publication number: 20110175487
    Abstract: The invention relates to a method for producing a dielectric layer (3) in an electroacoustic component (1), in particular a component operating with acoustic surface waves or bulk acoustic waves, comprising a substrate and an associated electrode structure, in which the dielectric layer (3) is formed at least in part by depositing by a thermal vapour deposition process at least one evaporation material selected from the following group of layer vaporising materials: vapour deposition glass material such as borosilicate glass, silicon nitride and aluminium oxide. The invention further relates to an electroacoustic component.
    Type: Application
    Filed: July 23, 2009
    Publication date: July 21, 2011
    Inventors: Ulli Hansen, Jürgen Leib, Simon Maus
  • Publication number: 20110168954
    Abstract: An electromagnetic and/or chemical enhancement which greatly enhances the Raman signal response for Surface Enhanced Raman is directed to molecular probe systems. Such molecular probe systems have many properties that make them ideal as probes for Scanning Probe Microscopy, Atomic Force Microscopy, and many other applications.
    Type: Application
    Filed: December 2, 2010
    Publication date: July 14, 2011
    Applicant: Carbon Design Innovations, Inc.
    Inventor: Ramsey M. Stevens
  • Publication number: 20110165347
    Abstract: Methods of forming a silicon-and-nitrogen-containing layers and silicon oxide layers are described. The methods include the steps of mixing a carbon-free silicon-containing precursor with plasma effluents, and depositing a silicon-and-nitrogen-containing layer on a substrate. The silicon-and-nitrogen-containing layers may be made flowable or conformal by selection of the flow rate of excited effluents from a remote plasma region into the substrate processing region. The plasma effluents are formed in a plasma by flowing inert gas(es) into the plasma. The silicon-and-nitrogen-containing layer may be converted to a silicon-and-oxygen-containing layer by curing and annealing the film.
    Type: Application
    Filed: September 2, 2010
    Publication date: July 7, 2011
    Applicant: Applied Materials, Inc.
    Inventors: Matthew L. Miller, Jang-Gyoo Yang
  • Patent number: 7972663
    Abstract: A method of forming a silicon nitride layer is described. According to the present invention, a silicon nitride layer is deposited by thermally decomposing a silicon/nitrogen containing source gas or a silicon containing source gas and a nitrogen containing source gas at low deposition temperatures (e.g., less than 550° C.) to form a silicon nitride layer. The thermally deposited silicon nitride layer is then treated with hydrogen radicals to form a treated silicon nitride layer.
    Type: Grant
    Filed: December 19, 2003
    Date of Patent: July 5, 2011
    Assignee: Applied Materials, Inc.
    Inventors: Shulin Wang, Errol Antonio C. Sanchez, Aihua (Steven) Chen
  • Publication number: 20110159213
    Abstract: A method of forming a silicon oxide layer is described. The method may include the steps of mixing a carbon-free silicon-containing precursor with a radical-nitrogen precursor, and depositing a silicon-and-nitrogen-containing layer on a substrate. The radical-nitrogen precursor is formed in a plasma by flowing ammonia and nitrogen (N2) and/or hydrogen (H2) into the plasma in order to allow adjustment of the nitrogen/hydrogen ratio. The silicon-and-nitrogen-containing layer may be converted to a silicon-and-oxygen-containing layer by curing and annealing the film.
    Type: Application
    Filed: October 15, 2010
    Publication date: June 30, 2011
    Applicant: Applied Materials, Inc.
    Inventors: Xiuyu Cai, Yue Zhao, Abhijit Basu Mallick, Nitin K. Ingle, Shankar Venkataraman
  • Publication number: 20110151142
    Abstract: Embodiments of the present invention provide methods for reducing defects during multi-layer deposition. In one embodiment, the method includes exposing the substrate to a first gas mixture and an inert gas in the presence of a plasma to deposit a first material layer on the substrate, terminating the first gas mixture when a desired thickness of the first material is achieved while still maintaining the plasma and flowing the inert gas, and exposing the substrate to the inert gas and a second gas mixture that are compatible with the first gas mixture in the presence of the plasma to deposit a second material layer over the first material layer in the same processing chamber, wherein the first material layer and the second material layer are different from each other.
    Type: Application
    Filed: December 15, 2010
    Publication date: June 23, 2011
    Applicant: APPLIED MATERIALS, INC.
    Inventors: Martin Jay Seamons, Sum-Yee Betty Tang, Michael H. Lin, Patrick Reilly, Sudha Rathi
  • Patent number: 7959987
    Abstract: A method and apparatus for depositing a material layer to treat and condition a substrate, such as a fuel cell part, is described. The method includes depositing a hydrophilic material layer on a portion of the surface of the substrate in a process chamber from a mixture of precursors of the hydrophilic material layer. In addition, the method includes reducing a fluid contact angle of the substrate surface. The hydrophilic material layer comprises a wet etch rate of less than about 0.03 ?/min in the presence of about 10 ppm of hydrofluoric acid in water. The material layer can be used to condition various parts of a fuel cell useful in applications to generate electricity.
    Type: Grant
    Filed: November 30, 2005
    Date of Patent: June 14, 2011
    Assignee: Applied Materials, Inc.
    Inventors: Tae Kyung Won, Robert Bachrach, John M. White, Wendell T. Blonigan
  • Patent number: 7955581
    Abstract: A method for producing a silicon oxide including the steps of supplying silicon atoms onto a substrate through an oxygen atmosphere to form a silicon oxide layer on the substrate, and separating the silicon oxide layer from the substrate and pulverizing the separated silicon oxide layer to obtain silicon oxide containing silicon and oxygen in predetermined proportions, and a negative electrode active material obtained by the production method.
    Type: Grant
    Filed: October 13, 2006
    Date of Patent: June 7, 2011
    Assignee: Panasonic Corporation
    Inventors: Yasutaka Kogetsu, Sumihito Ishida
  • Publication number: 20110129618
    Abstract: A method for using a vertical film formation apparatus includes performing a coating process inside the process container without product target objects present therein to cover an inner surface of the process container with a coating film, and then performing a film formation process inside the process container accommodating the holder with the product target objects placed thereon to form a predetermined film on the product target objects. The coating process alternately supplies the first and second process gases into the process container without turning either of the first and second process gases into plasma. The film formation process alternately supplies the first and second process gases into the process container while turning at least one of the first and second process gases into plasma.
    Type: Application
    Filed: November 26, 2010
    Publication date: June 2, 2011
    Applicant: TOKYO ELECTRON LIMITED
    Inventors: Masanobu MATSUNAGA, Pao-Hwa Chou, Masato Yonezawa, Masayuki Hasegawa, Kazuhide Hasebe
  • Publication number: 20110129619
    Abstract: A film formation method includes setting a target object at a temperature of 150 to 550° C., the target object being placed inside the process container configured to hold a vacuum state therein, and then, repeating a cycle alternately including a first supply step and a second supply step a plurality of times to form a silicon nitride film on the target object. The first supply step is a step of supplying monochlorosilane gas as an Si source into the process container while setting the process container at a pressure of 66.65 to 666.5 Pa therein. The second supply step is a step of supplying a nitrogen-containing gas as a nitriding gas into the process container.
    Type: Application
    Filed: November 26, 2010
    Publication date: June 2, 2011
    Applicant: TOKYO ELECTRON LIMITED
    Inventors: Masanobu Matsunaga, Keisuke Suzuki, Jaehyuk Jang, Pao-Hwa Chou, Masato Yonezawa, Masayuki Hasegawa, Kazuhide Hasebe
  • Patent number: 7947338
    Abstract: In a method of forming an interlayer insulating film by plasma CVD, an organic siloxane compound including one or more silicon atoms each having at least three or more units each represented by a general formula, —O—Si(R1R2)—OR3 (wherein R1 and R2 are the same as or different from each other and are a methyl group, an ethyl group or a propyl group, and R3 is the same as or different from R1 and R2 and is a methyl group, an ethyl group, a propyl group or a phenyl group) is used as a raw material.
    Type: Grant
    Filed: March 13, 2006
    Date of Patent: May 24, 2011
    Assignee: Panasonic Corporation
    Inventor: Nobuo Aoi
  • Patent number: 7947335
    Abstract: Methods for producing a quartz glass component with reflector layer are known in which a reflector layer composed of quartz glass acting as a diffuse reflector is produced on at least part of the surface of a substrate body composed of quartz glass. In order, taking this as a departure point, to specify a method which enables cost-effective and reproducible production of uniform SiO2 reflector layers on quartz glass components, it is proposed according to the invention that the reflector layer is produced by thermal spraying by means of SiO2 particles being fed to an energy carrier, being incipiently melted or melted by means of said energy carrier and being deposited on the substrate body. In the case of a quartz glass component obtained according to the method, the SiO2 reflector layer is formed as a layer which is produced by thermal spraying and has an opaque effect and which is distinguished by freedom from cracks and uniformity.
    Type: Grant
    Filed: December 13, 2007
    Date of Patent: May 24, 2011
    Assignee: Heraeus Quarzglas GmbH & Co. KG
    Inventors: Waltraud Werdecker, Rolf Gerhardt, Juergen Weber
  • Patent number: 7947339
    Abstract: A process for producing an electrophotographic roller member comprising a roller base member having a conductive mandrel and an elastic layer, and a film on the elastic layer. The process comprises the step of forming the film by plasma CVD. The step comprises the steps of: placing the roller base member in a chamber in such a way that the distance between the surface of the elastic layer and flat-plate electrodes is 20 mm or more to 100 mm or less; feeding a source gas into the chamber so as to have a pressure of from 13.3 Pa or more to 666.6 Pa or less; and applying to an electrode an electric power of from 0.3 W/cm2 or more to 2.0 W/cm2 or less while rotating the roller base member so that the peripheral speed of from 6 mm/s or more to 170 mm/s or less.
    Type: Grant
    Filed: October 29, 2008
    Date of Patent: May 24, 2011
    Assignee: Canon Kabushiki Kaisha
    Inventors: Kenichi Yamauchi, Hidenori Satoh, Genya Anan
  • Publication number: 20110111137
    Abstract: A method of forming a silicon oxide layer is described. The method may include the steps of mixing a carbon-free silicon-containing precursor with a radical-nitrogen-and/or-hydrogen precursor, and depositing a silicon-nitrogen-and-hydrogen-containing layer on a substrate. The conversion of the silicon-nitrogen-and-hydrogen-containing layer to a silicon-and-oxygen-containing layer is then initiated by a low temperature anneal (a “cure”) in an ozone-containing atmosphere. The conversion of the silicon-and-nitrogen film to silicon oxide in the ozone-containing atmosphere may be incomplete and augmented by a higher temperature anneal in an oxygen-containing environment.
    Type: Application
    Filed: September 28, 2010
    Publication date: May 12, 2011
    Applicant: Applied Materials, Inc.
    Inventors: Jingmei Liang, Sukwon Hong
  • Publication number: 20110100458
    Abstract: A multi-layer thin film for encapsulation and the method thereof are provided. The multi-layer thin film for encapsulation includes a protective layer composed of aluminum oxide, a single or double barrier layer composed of silicon nitride (SiNx), and a mechanical protective layer composed of silicon dioxide (SiO2). The multi-layer thin film can be economically fabricated by using the existing equipment, and has a high level of light transmission over 85% while showing a low level of oxygen and moisture penetration. Additionally, due to superior adhesive strength between the thin films, and high resistance against impacts by heat or ion during a fabricating process, reliability of fabrication is enhanced, and it can thus efficiently used in encapsulating an organic light-emitting device (OLED), a flexible organic light emitting device (FOLED) in a display field, and the cells such as a thin film battery and a solar cell.
    Type: Application
    Filed: October 1, 2010
    Publication date: May 5, 2011
    Applicant: KOREA INSTITUTE OF MACHINERY AND MATERIALS
    Inventors: Jae-Wook KANG, Do-Geun Kim, Dong Kwon Choi, Yong Soo Jeong, Jong Kuk Kim
  • Publication number: 20110091672
    Abstract: A biodegradable material for use with a fluid includes: a biodegradable polymer; and a plasticizer; wherein the polymer and plasticizer cooperate to provide a biodegradable material that is generally impermeable to the fluid. The resin and plasticizer may be intermixed to provide a biodegradable polymer for making a container.
    Type: Application
    Filed: October 21, 2009
    Publication date: April 21, 2011
    Applicant: SAI Technologies, Inc.
    Inventor: Shantu Patel
  • Publication number: 20110081715
    Abstract: A bottle for culturing a test sample, e.g., blood, includes a plastic vessel made from a single layer of plastic material. The bottle features a glass barrier coating applied to the bottle, such as a silica or glass coating. An alternative embodiment features a single layer plastic bottle and a gas barrier adhesive label covering the cylindrical side wall of the bottle. Kits comprising two or more of such bottles and methods of manufacturing the bottles are also disclosed.
    Type: Application
    Filed: September 29, 2010
    Publication date: April 7, 2011
    Inventors: Ronnie J. Robinson, Christopher S. Ronsick, Mark S. Wilson
  • Patent number: 7892602
    Abstract: Methods for depositing a metal silicon nitride layer on a substrate during an atomic layer deposition (ALD) process. The methods provide positioning a substrate within a process chamber containing a centralized expanding channel that conically tapers towards and substantially covers the substrate, flowing a process gas into the centralized expanding channel to form a circular flow pattern, exposing the substrate to the process gas having the circular flow pattern, and exposing the substrate sequentially to chemical precursors during an ALD process to form a metal silicon nitride material. In one example, the ALD process provides sequentially pulsing a metal precursor, a nitrogen precursor, and a silicon precursor into the process gas having the circular flow pattern. The metal silicon nitride material may contain tantalum or titanium. In other examples, the process gas or the substrate may be exposed to a plasma.
    Type: Grant
    Filed: June 7, 2006
    Date of Patent: February 22, 2011
    Assignee: Applied Materials, Inc.
    Inventors: Hua Chung, Ling Chen, Barry L. Chin
  • Patent number: 7879400
    Abstract: There is provided a substrate processing apparatus equipped with a metallic component, with at least a part of its metallic surface exposed to an inside of a processing chamber and subjected to baking treatment at a pressure less than atmospheric pressure. As a result of this baking treatment, a film which does not react with various types of reactive gases, and which can block the out diffusion of metals, is formed on the surface of the above-mentioned metallic component.
    Type: Grant
    Filed: October 10, 2007
    Date of Patent: February 1, 2011
    Assignee: Hitachi Kokusal Electric Inc.
    Inventors: Takahiro Maeda, Kiyohiko Maeda, Takashi Ozaki
  • Patent number: 7875315
    Abstract: This disclosure relates generally to polymeric networks of fullerene compounds, to methods of preparing precursors for such networks, and to their subsequent use as low dielectric constant materials in microelectronic devices.
    Type: Grant
    Filed: May 31, 2007
    Date of Patent: January 25, 2011
    Assignee: Roskilde Semiconductor LLC
    Inventor: Michael Raymond Ayers
  • Publication number: 20110006040
    Abstract: Apparatus and method for plasma-based processing well suited for deposition, etching, or treatment of semiconductor, conductor or insulating films. Plasma generating units include one or more elongated electrodes on the processing side of a substrate and a neutral electrode proximate the opposite side of the substrate. Gases may be injected proximate a powered electrode which break down electrically and produce activated species that flow toward the substrate area. This gas then flows into an extended process region between powered electrodes and substrate, providing controlled and continuous reactivity with the substrate at high rates with efficient utilization of reactant feedstock. Gases are exhausted via passages between powered electrodes or electrode and divider.
    Type: Application
    Filed: July 8, 2010
    Publication date: January 13, 2011
    Inventors: Stephen Edward Savas, Carl Galewski, Allan B. Wiesnoski, Sai Mantripragada, Sooyun Joh
  • Publication number: 20100323127
    Abstract: A process for depositing a film coating on an exposed surface of a substrate by the steps of: (a) providing a substrate having at least one exposed surface; and (b) flowing a gaseous mixture into an atmospheric pressure plasma that is in contact with at least one exposed surface of said substrate to form a plasma enhanced chemical vapor deposition coating on the substrate, the gaseous mixture containing an oxidizing gas and a precursor selected from the group consisting of: a vinylalkoxysilane, a vinylalkylsilane, a vinylalkylalkoxysilane, an allyalkoxysilane, an allylalkylsilane, an allylalkylalkoxysilane, an alkenylalkoxysilane, an alkenylalkylsilane, and an alkenylalkylalkoxysilane, the oxygen content of the gaseous mixture being greater than ten percent by volume.
    Type: Application
    Filed: July 15, 2008
    Publication date: December 23, 2010
    Inventors: Christina Ann Rhoton, John Matthew Warakomski
  • Patent number: 7851030
    Abstract: A method of reducing the number of particles on a low-k material layer is described. The low-k material layer is formed by a plasma enhanced chemical vapor deposition process, wherein a reaction gas, a cleaning gas, a high-frequency power and a low-frequency power are used. The method includes turning off the reaction gas and the low-frequency power after the low-k material layer is formed, and continuing to provide the cleaning gas during a delay time.
    Type: Grant
    Filed: February 10, 2006
    Date of Patent: December 14, 2010
    Assignee: United Microelectronics Corp.
    Inventors: Mei-Ling Chen, Chih-Chien Liu
  • Publication number: 20100310791
    Abstract: In order to provide a plasma processing method and a plasma processing system which is capable of embedding a SiN film can be performed by applying bias power, in a plasma processing method for depositing a silicon nitride film on a substrate 21, which is a target for plasma processing, by using plasma of a raw material gas containing silicon and hydrogen and of a gas containing nitrogen, the bias power to inject ions into the substrate 21 is set equal to or higher than a threshold to increase a Si—H bonding amount, thereby reducing compression stress.
    Type: Application
    Filed: January 20, 2009
    Publication date: December 9, 2010
    Applicant: MITSUBISHI HEAVY INDUSTRIES, LTD.
    Inventors: Tadashi Shimazu, Seiji Nishikawa, Hidetaka Kafuku
  • Patent number: 7846512
    Abstract: A method for producing patterns in a polymer layer. Polymer sites are formed on a support. These sites are subjected to a plasma deposition of dielectric material and preferably react with this plasma so as to form openings at the level of said sites. A pattern structure is then formed in the dielectric material and/or in the polymer.
    Type: Grant
    Filed: April 30, 2008
    Date of Patent: December 7, 2010
    Assignee: Commissariat a l'Energie Atomique
    Inventors: Frédéric-Xavier Gaillard, Laurent Vandroux
  • Publication number: 20100304047
    Abstract: This invention discloses the method of forming silicon nitride, silicon oxynitride, silicon oxide, carbon-doped silicon nitride, carbon-doped silicon oxide and carbon-doped oxynitride films at low deposition temperatures. The silicon containing precursors used for the deposition are monochlorosilane (MCS) and monochloroalkylsilanes. The method is preferably carried out by using plasma enhanced atomic layer deposition, plasma enhanced chemical vapor deposition, and plasma enhanced cyclic chemical vapor deposition.
    Type: Application
    Filed: June 2, 2009
    Publication date: December 2, 2010
    Applicants: Air Products and Chemicals, Inc., Tokyo Electron Limited
    Inventors: Liu Yang, Xinjian Lei, Bing Han, Manchao Xiao, Eugene Joseph Karwacki, JR., Kazuhide Hasebe, Masanobu Matsunaga, Masato Yonezawa, Hansong Cheng
  • Publication number: 20100298738
    Abstract: Methods for processing a vessel, for example to provide a gas barrier or lubricity, are disclosed. First and second PECVD or other vessel processing stations or devices and a vessel holder comprising a vessel port are provided. An opening of the vessel can be seated on the vessel port. The interior surface of the seated vessel can be processed via the vessel port by the first and second processing stations or devices. Vessel barrier, lubricity and hydrophobic coatings and coated vessels, for example syringes and medical sample collection tubes are disclosed. A vessel processing system and vessel inspection apparatus and methods are also disclosed.
    Type: Application
    Filed: May 12, 2010
    Publication date: November 25, 2010
    Inventors: John T. Felts, Thomas E. Fisk, Robert S. Abrams, John Ferguson, Jonathan R. Freedman, Robert J. Pangborn, Peter J. Sagona
  • Publication number: 20100291321
    Abstract: A process for forming a silicon carbonitride barrier dielectric film between a dielectric film and a metal interconnect of an integrated circuit substrate, comprising the steps of; providing the integrated circuit substrate having a dielectric film; contacting the substrate with a barrier dielectric film precursor comprising: RxR?y(NR?R??)zSi wherein R, R?, R? and R?? are each individually selected from hydrogen, linear or branched saturated or unsaturated alkyl, or aromatic; wherein x÷y+z=4; z=1-3; but R, R? cannot both be hydrogen; forming the silicon carbonitride barrier dielectric film with C/Si ratio>0.8 and a N/Si ratio>0.2 on the integrated circuit substrate.
    Type: Application
    Filed: May 3, 2010
    Publication date: November 18, 2010
    Applicant: AIR PRODUCTS AND CHEMICALS, INC.
    Inventors: Anupama Mallikarjunan, Raymond Nicholas Vrtis, Laura M. Matz, Mark Leonard O'Neill, Andrew David Johnson, Manchao Xiao
  • Publication number: 20100292757
    Abstract: A method and a series of devices for dry cleaning, activating, modifying, coating, and biologically decontaminating (degerming, disinfecting, sterilizing) surfaces by means of an atmospheric pressure plasma generated using a surface barrier discharge are provided. The invention is used for dry cleaning, activating, coating, modifying, and biologically contaminating surfaces by means of an atmospheric pressure plasma generated in a defined, flowing gas atmosphere by a surface barrier discharge, comprising a high-voltage electrode that is covered with a dielectric or ferroelectric material, an electrically conducting grounded contact electrode, a high-voltage supply, a gas supply, and a gas nozzle (encompassing a gas outlet); said gas nozzle is located in the direct vicinity of the grounded contact electrode, is integrated into the contact electrode, or acts as the grounded contact electrode.
    Type: Application
    Filed: February 12, 2010
    Publication date: November 18, 2010
    Applicant: NEOPLAS GMBH
    Inventors: Joerg Ehlbeck, Ruediger Foest, Eckhard Kindel, Norbert Lembke, Manfred Stieber, Klaus-Dieter Weltmann