Silicon Oxides Or Nitrides Patents (Class 427/579)
  • Patent number: 7829159
    Abstract: A method of forming an organosilicon oxide film by plasma CVD includes: (i) adjusting a temperature of a susceptor on which a substrate is placed to lower than 300° C.; (ii) introducing at least tetraethylorthosilicate (TEOS) and oxygen into a reactor in which the susceptor is disposed; (iii) applying high-frequency RF power and low-frequency RF power; and (iv) thereby depositing an organosilicon oxide film on the substrate.
    Type: Grant
    Filed: January 4, 2006
    Date of Patent: November 9, 2010
    Assignee: ASM Japan K.K.
    Inventor: Ryu Nakano
  • Publication number: 20100279027
    Abstract: A method for applying an abrasion-resistant coating to a substrate including the steps of generating an atmospheric plasma, introducing a precursor to the atmospheric plasma, the precursor being selected to form the abrasion-resistant coating, and positioning the substrate relative to the atmospheric plasma such that the atmospheric plasma deposits the abrasion-resistant coating onto the substrate.
    Type: Application
    Filed: May 4, 2009
    Publication date: November 4, 2010
    Inventors: Liam S.C. Pingree, Vasan S. Sundaram, Michael R. Sirkis, Shawn M. Pare
  • Publication number: 20100261008
    Abstract: A gas barrier film includes two or more laminates formed on a substrate. each laminate has a organic layer and a inorganic layer stacked in this order. The organic layer directly formed on the substrate includes a (meth)acrylic compound having a glass transition temperature of at least 200° C. and a C—C bond density in the monomer of at least 0.19, and has a thickness of at least 300 nm but less than 1000 nm, and the other organic layer includes a (meth)acrylic compound having a glass transition temperature of at least 105° C. and a C—C bond density in the monomer of at least 0.19, and has a thickness of at least 50 nm but less than 300 nm. The inorganic layers are formed by plasma-enhanced film deposition. A producing method produces the gas barrier film using the plasma-enhanced film deposition.
    Type: Application
    Filed: April 12, 2010
    Publication date: October 14, 2010
    Applicant: FUJIFILM CORPORATION
    Inventor: Tomoyuki KIKUCHI
  • Publication number: 20100255218
    Abstract: A method of depositing a silicon oxide film on a resist pattern or etched lines formed on a substrate by plasma enhanced atomic layer deposition (PEALD) includes: providing a substrate on which a resist pattern or etched lines are formed in a PEALD reactor; controlling a temperature of a susceptor on which the substrate is placed at less than 50° C. as a deposition temperature; introducing a silicon-containing precursor and an oxygen-supplying reactant to the PEALD reactor and applying RF power therein in a cycle, while the deposition temperature is controlled substantially or nearly at a constant temperature of less than 50° C., thereby depositing a silicon oxide atomic layer on the resist pattern or etched lines; and repeating the cycle multiple times substantially or nearly at the constant temperature to deposit a silicon oxide atomic film on the resist pattern or etched lines.
    Type: Application
    Filed: April 1, 2009
    Publication date: October 7, 2010
    Applicant: ASM Japan K.K.
    Inventors: Takahiro Oka, Akira Shimizu
  • Publication number: 20100247805
    Abstract: An object to be processed which has silicon on its surface is loaded in a processing chamber. A plasma of a processing gas containing oxygen gas and nitrogen gas is generated in the processing chamber. The silicon on the surface of the object to be processed is oxidized by the plasma, thereby forming a silicon oxide film.
    Type: Application
    Filed: May 22, 2007
    Publication date: September 30, 2010
    Applicant: TOKYO ELECTRON LIMITED
    Inventors: Yoshiro Kabe, Junichi Kitagawa, Sunao Muraoka
  • Publication number: 20100239742
    Abstract: A hard, transparent coating for a substrate and associated method for coating is disclosed. The coating includes alternating layers of a soft coating and a hard coating. The coating further includes a sensor. The electrical resistivity of the sensor may be measured to determine if the coating has been degraded. The coating may further include a hydrophobic outer layer.
    Type: Application
    Filed: March 23, 2009
    Publication date: September 23, 2010
    Applicant: THE BOEING COMPANY
    Inventors: Kjersta L. LARSON-SMITH, Vasan S. SUNDARAM, David A. BOWEN, Shawn M. PARE, Liam S.C. PINGREE
  • Publication number: 20100239482
    Abstract: A method according to the invention comprises: starting plasma discharge for forming the gas barrier layer in a film deposition chamber; and producing the gas barrier layer by using a plasma after a first predetermined period of time has elapsed from a start of the plasma discharge.
    Type: Application
    Filed: March 17, 2010
    Publication date: September 23, 2010
    Applicant: FUJIFILM CORPORATION
    Inventors: Toshiya TAKAHASHI, Kouji TONOHARA, Shinsuke TAKAHASHI
  • Publication number: 20100220305
    Abstract: An optical element is used for an exposure apparatus which is configured to illuminate a mask with an exposure light beam for transferring a pattern on the mask onto a substrate through a projection optical system and to interpose a given liquid in a space between a surface of the substrate and the projection optical system. The optical element includes a first anti-dissolution member provided on a surface of a transmissive optical element on the substrate's side of the projection optical system.
    Type: Application
    Filed: February 25, 2010
    Publication date: September 2, 2010
    Applicant: NIKON CORPORATION
    Inventors: Takeshi Shirai, Takao Kokubun, Hitoshi Ishizawa, Atsunobu Murakami
  • Patent number: 7780865
    Abstract: Methods of controlling the step coverage and pattern loading of a layer on a substrate are provided. The dielectric layer may be a silicon nitride, silicon oxide, or silicon oxynitride layer. The method comprises depositing a dielectric layer on a substrate having at least one formed feature across a surface of the substrate and etching the dielectric layer with a plasma from oxygen or a halogen-containing gas to provide a desired profile of the dielectric layer on the at least one formed feature. The deposition of the dielectric layer and the etching of the dielectric layer may be repeated for multiple cycles to provide the desired profile of the dielectric layer.
    Type: Grant
    Filed: March 29, 2007
    Date of Patent: August 24, 2010
    Assignee: Applied Materials, Inc.
    Inventors: Mihaela Balseanu, Li-Qun Xia, Mei-Yee Shek, Hichem M'Saad
  • Publication number: 20100209624
    Abstract: The present invention is a film-forming apparatus including: a longitudinal tubular processing container in which a vacuum can be created; an object-to-be-processed holding unit that holds a plurality of objects to be processed in a tier-like manner and that can be inserted into and taken out from the processing container; a heating unit provided around the processing container; a silane-based-gas supplying unit that supplies a silane-based gas into the processing container, the silane-based gas including no halogen element; a nitriding-gas supplying unit that supplies a nitriding gas into the processing container; an activating unit that activates the nitriding gas by means of plasma; and a controlling unit that controls the silane-based-gas supplying unit, the nitriding-gas supplying unit and the activating unit, in such a manner that the silane-based gas and the nitriding gas are supplied into the processing container at the same time while the nitriding gas is activated, in order to form a predetermined t
    Type: Application
    Filed: February 12, 2010
    Publication date: August 19, 2010
    Applicant: TOKYO ELECTRON LIMITED
    Inventor: Hiroyuki MATSUURA
  • Publication number: 20100193461
    Abstract: The invention relates to a method that uses a low-pressure plasma to deposit a barrier coating on a substrate, of the type in which the plasma is obtained by partial ionisation, under the influence of an electromagnetic field, of a reaction fluid injected at low pressure into a treatment zone. The method includes: at least a step in which a first layer, obtained in the plasma state bearing a mixture containing at least one organosilicon compound and one other compound, is deposited on the substrate; a step in which a second layer, essentially consisting of silicon oxide having formula SiOx, is deposited on the first layer; and at least a step in which a third layer, obtained in the plasma state bearing a mixture containing at least one organosilicon compound and one other compound, is deposited on the second layer, said aforementioned other compounds both taking the form of nitrogen compounds, such as nitrogen gas.
    Type: Application
    Filed: July 3, 2008
    Publication date: August 5, 2010
    Applicant: SIDEL PARTICIPATIONS
    Inventors: Naima Boutroy, Nasser Beldi
  • Publication number: 20100189927
    Abstract: A method for using a film formation apparatus includes performing a main cleaning process and a post cleaning process in this order inside a reaction chamber. The main cleaning process is arranged to supply a cleaning gas containing fluorine into the reaction chamber while exhausting gas from inside the reaction chamber, thereby etching a film formation by-product containing silicon. The post cleaning process is arranged to remove a silicon-containing fluoride generated by the main cleaning process and remaining inside the reaction chamber and to alternately repeat, a plurality of times, supplying an oxidizing gas into the reaction chamber to transform the silicon-containing fluoride into an intermediate product by oxidization, and supplying hydrogen fluoride gas into the reaction chamber while exhausting gas from inside the reaction chamber to remove the intermediate product by a reaction between the hydrogen fluoride gas and the intermediate product.
    Type: Application
    Filed: January 8, 2010
    Publication date: July 29, 2010
    Applicant: TOKYO ELECTRON LIMITED
    Inventors: Jun SATO, Kiyotaka Kikuchi, Hiroki Murakami, Shigeru Nakajima, Kazuhide Hasebe
  • Publication number: 20100189928
    Abstract: A method for manufacturing an optical fiber preform includes the steps of depositing an inner cladding and a central core inside a fluorine doped silica tube and thereafter collapsing the silica tube to form a primary preform. The fluorine doped silica tube has a cross section area that is no more than about 15 percent smaller than the cross section area of the resulting primary preform. The present method facilitates reduced-cost manufacturing of a high-capacity optical fiber preform, which may be drawn to produce an optical fiber having reduced transmission losses.
    Type: Application
    Filed: April 12, 2010
    Publication date: July 29, 2010
    Applicant: DRAKA COMTEQ B.V.
    Inventors: Cedric Gonnet, Elise Regnier, Frans Gooijer, Pascale Nouchi
  • Patent number: 7758929
    Abstract: In a plasma processing apparatus in which a radio-frequency power from a radio-frequency power source is supplied to at least one of an upper electrode and a lower electrode disposed to vertically face each other in a process vessel, to thereby generate, in the process vessel, plasma with which a substrate is processed, a chemical component emitting member which is caused to emit a chemical component necessary for processing the substrate into the process vessel by entrance of ions in the plasma generated in the process vessel is provided in the process vessel in an exposed state, and an impedance varying circuit varying impedance on the chemical component emitting member side of the plasma generated in the process vessel to frequency of the radio-frequency power source is connected to the chemical component emitting member.
    Type: Grant
    Filed: March 27, 2007
    Date of Patent: July 20, 2010
    Assignee: Tokyo Electron Limited
    Inventors: Yohei Yamazawa, Noriaki Imai
  • Patent number: 7754294
    Abstract: We have discovered that controlling a combination of PECVD deposition process parameters during deposition of silicon-containing thin film provides improved control over surface standing wave effects. By minimizing surface standing wave effects, the uniformity of film properties (particularly film thickness) across a substrate surface onto which the films have been deposited is improved.
    Type: Grant
    Filed: June 25, 2008
    Date of Patent: July 13, 2010
    Assignee: Applied Materials, Inc.
    Inventors: Soo Young Choi, Tae Kyung Won, John M. White
  • Publication number: 20100151152
    Abstract: A non-stoichiometric SiOXNY thin-film optical filter is provided. The filter is formed from a substrate and a first non-stoichiometric SiOX1NY1 thin-film overlying the substrate, where (X1+Y1<2 and Y1>0). The first non-stoichiometric SiOX1NY1 thin-film has a refractive index (n1) in the range of about 1.46 to 3, and complex refractive index (N1=n1+ik1), where k1 is an extinction coefficient in a range of about 0 to 0.5. The first non-stoichiometric SiOX1NY1 thin-film may be either intrinsic or doped. In one aspect, the first non-stoichiometric SiOX1NY1 thin-film has nanoparticles with a size in the range of about 1 to 10 nm. A second non-stoichiometric SiOX2NY2 thin-film may overlie the first non-stoichiometric SiOX1NY1 thin-film, where Y1?Y2. The second non-stoichiometric SiOX1NY1 thin-film may be intrinsic and doped. In another variation, a stoichiometric SiOX2NY2 thin-film, intrinsic or doped, overlies the first non-stoichiometric SiOX1NY1 thin-film.
    Type: Application
    Filed: February 4, 2010
    Publication date: June 17, 2010
    Inventors: Pooran Joshi, Apostolos T. Voutsas, John W. Hartzell
  • Publication number: 20100149540
    Abstract: The Invention relates to a method for producing a solid support coated by a metal Layer to which an SiOx layer provided with a uniform and stable thickness is applied, wherein said solid support makes it possible to determine the pretense of a compound on the surface thereof by means of Surface Plasmon Resonance (?SPR ?).
    Type: Application
    Filed: September 27, 2006
    Publication date: June 17, 2010
    Inventors: Rabah Boukherroub, Sabine Szunerits
  • Publication number: 20100124621
    Abstract: A method of forming an insulation film by alternating multiple times, respectively, a process of adsorbing a precursor onto a substrate and a process of treating the adsorbed surface using reactant gas and a plasma, wherein a plasma is applied in the process of supplying the precursor.
    Type: Application
    Filed: November 13, 2009
    Publication date: May 20, 2010
    Applicant: ASM JAPAN K.K.
    Inventors: Akiko Kobayashi, Akira Shimizu, Nobuyoshi Kobayashi, Woo-Jin Lee
  • Patent number: 7704556
    Abstract: The silicon nitride film forming method deposits a silicon nitride film on the substrate surface by maintaining the heating element at a predetermined temperature and by decomposing and/or activating a raw material gas supplied from the gas supply system.
    Type: Grant
    Filed: June 18, 2007
    Date of Patent: April 27, 2010
    Assignee: Canon Anelva Corporation
    Inventors: Hitoshi Morisaki, Yasushi Kamiya, Shuji Nomura, Masahiro Totuka, Tomoki Oku, Ryo Hattori
  • Publication number: 20100098884
    Abstract: Methods of depositing boron-containing liner layers on substrates involve the formation of a bilayer including an initiation layer which includes barrier material to inhibit the diffusion of boron from the bilayer into the underlying substrate.
    Type: Application
    Filed: June 22, 2009
    Publication date: April 22, 2010
    Applicant: Applied Materials, Inc.
    Inventors: MIHAELA BALSEANU, Li-Qun Xia, Derek R. Witty, Yi Chen
  • Patent number: 7695776
    Abstract: The present invention related to an improved structure of an optically transparent element that can be used in optical scanners, supermarket scanners, lenses for eyeglasses, etc. The application of oxynitride PECVD films provide good hardness and optical transparency. Such films displaying these physical properties are extremely useful as a scratch resistant coatings in lenses and systems in which an article contacts a transparent surface, such as in scanners and in environments in which intermittent, environmental contact occurs such as in displays for computers and suchlike and in liquid crystal displays, touch displays and compact disks.
    Type: Grant
    Filed: May 23, 2008
    Date of Patent: April 13, 2010
    Assignee: International Business Machines Corp.
    Inventors: Jeffrey Curtis Hedrick, David Andrew Lewis, Stanley Joseph Whitehair
  • Patent number: 7695765
    Abstract: Methods of preparing a carbon doped oxide (CDO) layer with a low dielectric constant (<3.2) and low residual stress without sacrificing important integration properties such as refractive index and etch rate are provided. The methods involve, for instance, providing a substrate to a deposition chamber and exposing it to TMSA, followed by igniting and maintaining a plasma in a deposition chamber using radio frequency power having high and low frequency components or one frequency component only, and depositing the carbon doped oxide film under conditions in which the resulting dielectric layer has a net tensile stress of less than about 40 MPa, a hardness of at least about 1 GPa, and a SiC:SiOx bond ratio of not greater than about 0.75.
    Type: Grant
    Filed: November 12, 2004
    Date of Patent: April 13, 2010
    Assignee: Novellus Systems, Inc.
    Inventors: Keith Fox, Carole Mars, Willis Kirkpatrick, Easwar Srinivasan
  • Publication number: 20100067847
    Abstract: An integrated structure and method of its fabrication are presented. The integrated structure comprises at least one waveguide; at least one fluid chamber; and an electrode assembly. The fluid chamber is associated with said at least one waveguide and configured and operable to selectively allow one or more droplets of said fluid from the fluid chamber to access at least a portion of the waveguide thereby selectively creating one or more fluid-waveguide interfaces and affecting the effective refractive index of the waveguide and light coupling at said one or more interface. The electrode assembly is configured and operable to induce an electric field within said at least one fluid chamber to affect the fluid-waveguide interface, thereby affecting light propagation in said waveguide and accordingly affecting optical properties of the integrated structure.
    Type: Application
    Filed: August 18, 2009
    Publication date: March 18, 2010
    Applicant: Yissum Research Development Company of the Hebrew University of Jerusalem, Ltd.
    Inventors: Uriel LEVY, Romi SHAMAI
  • Patent number: 7678715
    Abstract: The present invention pertains to methods of depositing low wet etch rate silicon nitride films on substrates using high-density plasma chemical vapor deposition techniques at substrate temperatures below 600° C. The method additionally involves the maintenance of a relatively high ratio of nitrogen to silicon in the plasma and a low process pressure.
    Type: Grant
    Filed: December 21, 2007
    Date of Patent: March 16, 2010
    Assignee: Applied Materials, Inc.
    Inventors: Hemant P. Mungekar, Jing Wu, Young S. Lee, Anchuan Wang
  • Publication number: 20100062183
    Abstract: A method of producing a gas barrier film comprises the steps of: supplying a material gas including silane gas, ammonia gas and at least one of nitrogen gas and hydrogen gas to a process chamber; keeping the process chamber at an internal pressure of 20 to 200 Pa; holding a substrate in the process chamber at a substrate temperature of not more than 70° C.; forming a bias potential of ?100 V or less at the substrate; and supplying power P (W) to the material gas so as to have a ratio P/Q of the power P to a silane gas flow rate Q (sccm) of 15 to 30 W/sccm to generate plasma, thereby depositing a silicon nitride layer on a surface of the substrate.
    Type: Application
    Filed: September 3, 2009
    Publication date: March 11, 2010
    Applicant: FUJIFILM CORPORATION
    Inventors: Tatsuya FUJINAMI, Toshiya TAKAHASHI
  • Publication number: 20100034985
    Abstract: The present invention refers to an apparatus for the plasma treatment of hollow bodies, comprising a vacuum treatment chamber and means for generating the plasma, which apparatus is characterized in that the means for generating the plasma comprise an electrode of a substantially U-shaped cross-section, which is arranged in the vacuum treatment chamber, the hollow bodies immersing at least in part into the U-shaped electrode when the plasma treatment is carried out, and being moved at least temporarily relative to the U-shaped electrode.
    Type: Application
    Filed: July 31, 2009
    Publication date: February 11, 2010
    Applicant: Krones AG
    Inventors: Jochen Krueger, John Felts
  • Patent number: 7658977
    Abstract: A method of fabricating an inkjet printhead is provided. The method comprises the steps of: (a) providing a partially-fabricated printhead having a first nozzle plate comprised of a first material spanning a plurality of nozzles, the first nozzle plate having a plurality of cavities; (b) filling the cavities with a filler, such that an upper surface of the first nozzle plate and an upper surface of the filler together define a contiguous planar surface; and (c) depositing a second material onto the planar surface to form a second nozzle plate having a planar exterior surface.
    Type: Grant
    Filed: October 24, 2007
    Date of Patent: February 9, 2010
    Assignee: Silverbrook Research Pty Ltd
    Inventors: Witold Roman Wiszniewski, David McLeod Johnstone, Kia Silverbrook
  • Patent number: 7651741
    Abstract: A support that includes a flexible substrate and provided thereon, one or two or more polymer layers and one or two or more sealing layers, wherein at least one of the polymer layers and the sealing layers is formed by a process including exciting a reactive gas at a space between opposed electrodes at atmospheric pressure or approximately atmospheric pressure by electric discharge to be in the plasma state, and exposing the flexible substrate, the polymer layer or the sealing layer to the reactive gas in the plasma state.
    Type: Grant
    Filed: November 21, 2006
    Date of Patent: January 26, 2010
    Assignee: Konica Corporation
    Inventors: Taketoshi Yamada, Hiroshi Kita
  • Patent number: 7642204
    Abstract: In one aspect, the invention includes a method of forming an insulating material comprising: a) providing a substrate within a reaction chamber; b) providing reactants comprising a Si, F and ozone within the reaction chamber; and c) depositing an insulating material comprising fluorine, silicon and oxygen onto the substrate from the reactants. In another aspect, the invention includes a method of forming a boron-doped silicon oxide having Si—F bonds, comprising: a) providing a substrate within a reaction chamber; b) providing reactants comprising Triethoxy fluorosilane, a boron-containing precursor, and ozone within the reaction chamber; and c) depositing a boron-doped silicon oxide having Si—F bonds onto the substrate from the reactants.
    Type: Grant
    Filed: January 30, 2004
    Date of Patent: January 5, 2010
    Assignee: Micron Technology, Inc.
    Inventors: Anand Srinivasan, Gurtej Sandhu, Ravi Iyer
  • Publication number: 20090324851
    Abstract: A method for fabricating a metal-insulator-metal (MIM) capacitor includes providing a substrate comprising a bottom electrode, forming a dielectric layer positioned on the bottom electrode, and forming a top electrode positioned on the dielectric layer. The dielectric layer includes a silicon nitride film, the silicon nitride film has a plurality of Si—H bonds and a plurality of N—H bonds, and a ratio of Si—H bonds to N—H bonds being equal to or smaller than 0.5.
    Type: Application
    Filed: September 7, 2009
    Publication date: December 31, 2009
    Inventors: Lian-Hua Shih, Yi-Ching Wu, Jiann-Fu Chen, Ming-Te Chen, Chin-Jen Cheng
  • Publication number: 20090314643
    Abstract: The object of the present invention is to inject smoothly a precursor to be a support and make an excellent support in which a boundary between a separation gel and a concentration gel after the injection is not ill-defined in the cassette which is made by combining two plates made of a synthetic resin, and in order to achieve the object, the present invention provides an electrophoresis cassette made by combining plates made of a synthetic resin which has a cavity for forming a support, wherein the surface of the plate which contacts with the support is covered with a SiOx film, and the SiOx film has a contact angle to water of 30° or less, and preferably 10° or less.
    Type: Application
    Filed: February 28, 2007
    Publication date: December 24, 2009
    Applicants: Toppan Printing Co., Ltd., National Institute of Advanve Industrial Scienc and Technology, Sharp Kabushiki Kaisha, Katayanagi Institute
    Inventors: Koji Sakairi, Chie Hayashida, Ichiji Namatame, Kenji Yokoyama, Atsunori Hiratsuka, Kisho Shiseki
  • Publication number: 20090316268
    Abstract: Methods for producing a quartz glass component with reflector layer are known in which a reflector layer composed of quartz glass acting as a diffuse reflector is produced on at least part of the surface of a substrate body composed of quartz glass. In order, taking this as a departure point, to specify a method which enables cost-effective and reproducible production of uniform SiO2 reflector layers on quartz glass components, it is proposed according to the invention that the reflector layer is produced by thermal spraying by means of SiO2 particles being fed to an energy carrier, being incipiently melted or melted by means of said energy carrier and being deposited on the substrate body. In the case of a quartz glass component obtained according to the method, the SiO2 reflector layer is formed as a layer which is produced by thermal spraying and has an opaque effect and which is distinguished by freedom from cracks and uniformity.
    Type: Application
    Filed: December 13, 2007
    Publication date: December 24, 2009
    Inventors: Waltraud Werdecker, Rolf Gerhardt, Juergen Weber
  • Publication number: 20090311539
    Abstract: A coating and associated method for coating is disclosed. The coating provides a hard, transparent coating to a substrate. A soft coating is first deposited upon the substrate, and a hard coating is then deposited upon the soft coating layer. The soft and hard coating layers both have the general composition SiOxCy. Multiple alternating layers of a soft and hard coating may be deposited. The soft and hard coatings are deposited by a plasma vapor deposition process.
    Type: Application
    Filed: June 11, 2008
    Publication date: December 17, 2009
    Applicant: THE BOEING COMPANY
    Inventors: Kjersta L. LARSON-SMITH, Vasan S. SUNDARAM, Michael Robert SIRKIS
  • Publication number: 20090303570
    Abstract: An example tunable cavity resonator for filtering radiation in the optical and IR wavelengths and an example method for fabricating same. The example resonator includes a pair of reflectors, one in fixed relationship to a substrate and the other formed upon a suspended moveable membrane disposed a cavity length from the one reflector. The resonator also includes a pair of spaced apart electrodes either constituted by the reflectors or juxtaposed therewith, which are electrostatically operable to move the membrane and other reflector relative to the one reflector.
    Type: Application
    Filed: May 19, 2008
    Publication date: December 10, 2009
    Inventors: Lorenzo Faraone, John Marcel Dell, Charles Anthony Musca, Jarek Antoszewski, Kevin James Winchester
  • Patent number: 7629033
    Abstract: A plasma processing method for forming a silicon nitride film on a silicon oxide film, the method including preparing a substrate on which the silicon oxide film is formed; generating plasma by supplying a nitrogen gas onto the silicon oxide film; and nitride-processing the silicon oxide film by the plasma so as to modify an upper portion of the silicon oxide film into the silicon nitride film.
    Type: Grant
    Filed: April 17, 2007
    Date of Patent: December 8, 2009
    Assignee: Tokyo Electron Limited
    Inventors: Toshiaki Hongo, Tetsu Osawa
  • Patent number: 7629032
    Abstract: A plasma buildup method for building up an optical fiber perform. The method includes the steps of: providing a plasma torch having an outlet nozzle adjacent to a primary perform, wherein an interaction zone is defined between the outlet nozzle and the primary preform; feeding the plasma torch with a plasma-generating gas in the presence of a silica-based material so as to deposit a buildup material on the primary preform; and introducing a reducing element into the interaction zone, the reducing element reacting to induce reduction of the nitrogen oxides produced by interaction between nitrogen and oxygen in the presence of the plasma generated by the torch.
    Type: Grant
    Filed: December 2, 2003
    Date of Patent: December 8, 2009
    Assignee: Draka Comteq B.V.
    Inventors: André Appriou, Charles Truchot, Sabine Guina
  • Publication number: 20090297812
    Abstract: The invention is directed to single crystal alkaline earth metal fluoride optical elements having an adhesive, hermetic coating thereon, the coating being chemically bonded to the surface of the metal fluoride optical element with a bonding energy ?4 eV and not merely bonded by van de Walls forces. The materials that can be used for coating the optical elements are selected from the group consisting of SiO2, F—SiO2, Al2O3, F—Al2O3, SiON, HfO2, Si3N4, TiO2 and ZrO2, and mixtures (of any composition) of the forgoing, for example, SiO2;HfO2 and F—SiO2/ZrO2. The preferred alkali earth metal fluoride used for the optical elements is CaF2. Preferred coatings are SiO2, F—SiO2, SiO2/ZrO2 and F—SiO2/ZrO2.
    Type: Application
    Filed: May 29, 2008
    Publication date: December 3, 2009
    Inventors: Michael J. Cangemi, Horst Schreiber, Jue Wang
  • Patent number: 7625609
    Abstract: A method of forming a silicon nitride film which can form a silicon nitride film having a high film stress at a low process temperature is described herein. The method includes the steps of (a) supplying dichlorosilane into a reaction chamber containing a process object, thereby allowing chemical species originated from dichlorosilane as a precursor to be adsorbed on the process object; (b) hydrogenating chlorine contained in the chemical species, thereby removing the chlorine from the chemical species; and (c) supplying ammonia radicals into the reaction chamber, thereby nitriding the chemical species, from which the chlorine has been removed, by the ammonia radicals to, deposit resultant silicon nitride on the process object, wherein the steps (a), (b) and (c) are performed repeatedly for plural times in that order, thereby a silicon nitride film of a desired thickness is formed on a semiconductor wafer.
    Type: Grant
    Filed: March 27, 2006
    Date of Patent: December 1, 2009
    Assignee: Tokyo Electron Limited
    Inventor: Hiroyuki Matsuura
  • Patent number: 7622396
    Abstract: A semiconductor device is produced by providing a reaction chamber with a substrate and sequentially repeating steps of: supplying a first kind of gas into the reaction chamber, exhausting the first kind of gas from the reaction chamber, supplying a second kind of gas into the reaction chamber, and exhausting the second kind of gas from the reaction chamber to process the substrate disposed in the reaction chamber. The first kind of gas is pre-reserved in an intermediate portion of a supply path through which the first kind of gas flows, and is supplied into the reaction chamber with exhaust of the reaction chamber being substantially stopped.
    Type: Grant
    Filed: June 6, 2008
    Date of Patent: November 24, 2009
    Assignee: Hitachi Kokusai Electric Inc.
    Inventors: Kazuyuki Okuda, Yasushi Yagi, Toru Kagaya, Masanori Sakai
  • Patent number: 7622162
    Abstract: Using UV radiation, methods to modify shallow trench isolation (STI) film tensile stress to generate channel strain without adversely impacting the efficiency of the transistor fabrication process are disclosed. Methods involve a two phase process: a deposition phase, wherein silanol groups are formed in the silicon dioxide film, and a bond reconstruction phase, wherein UV radiation removes silanol bonds and induce tensile stress in the silicon dioxide film.
    Type: Grant
    Filed: June 7, 2007
    Date of Patent: November 24, 2009
    Assignee: Novellus Systems, Inc.
    Inventors: Bart van Schravendijk, Seon-Mee Cho
  • Publication number: 20090274852
    Abstract: A method for fabricating strained silicon transistors is disclosed. First, a semiconductor substrate is provided, in which the semiconductor substrate includes a gate, at least a spacer, and a source/drain region formed thereon. Next, a precursor, silane, and ammonia are injected, in which the precursor is reacted with silane and ammonia to form a high compressive stress film on the surface of the gate, the spacer, and the source/drain region. Preferably, the high compressive stress film can be utilized in the fabrication of a poly stressor, a contact etch stop layer, and dual contact etch stop layers.
    Type: Application
    Filed: July 2, 2009
    Publication date: November 5, 2009
    Inventors: Neng-Kuo Chen, Teng-Chun Tsai, Chien-Chung Huang
  • Publication number: 20090263594
    Abstract: A substrate processing system has a housing that defines a process chamber. A substrate holder disposed within the process chamber supports a substrate during substrate processing. A gas-delivery system introduces a gas into the process chamber. A pressure-control system maintains a selected pressure within the process chamber. A high-density plasma generating system forms a plasma having a density greater than 1011 ions/cm3 within the process chamber. A radio-frequency bias system generates an electrical bias on the substrate at a frequency less than 5 MHz. A controller controls the gas-delivery system, the pressure-control system, the high-density plasma generating system, and the radio-frequency bias system.
    Type: Application
    Filed: June 29, 2009
    Publication date: October 22, 2009
    Applicant: Applied Materials, Inc.
    Inventors: Rongping Wang, Canfeng Lai, Yuri Trachuk, Siamak Salimian
  • Publication number: 20090252893
    Abstract: Provide is a method of preparing a highly-functional film capable of reducing surface failure and of improving the yield, and a manufacturing apparatus thereof. This is a plasma discharge treatment apparatus to plasma-discharge-treat for the surface of a substrate conveyed between a winder and an unwinder at atmospheric pressure or approximately atmospheric pressure, and is a plasma discharge treatment apparatus by which the substrate is conveyed with no contact by only nip roller separating the discharge section from outside. Provided is a method of preparing a gas barrier film exhibiting high gas barrier, together with reduction of surface failure (crack failure) during gas barrier thin layer formation. The surface on the gas barrier thin layer side of the gas barrier film has a curvature radius of at least 75 mm during conveyance, and the surface on the opposite side has a curvature radius of at least 37.5 mm.
    Type: Application
    Filed: August 17, 2006
    Publication date: October 8, 2009
    Inventors: Koji Ozaki, Kazuhiro Fukuda, Hiroaki Arita
  • Publication number: 20090252894
    Abstract: A barrier assembly having a flexible or rigid substrate, an organic electronic device, and one or more layers of diamond-like film. The diamond-like film layers can be used to mount, cover, encapsulate or form composite assemblies for protection of moisture or oxygen sensitive articles such as organic light emitting diode devices, photovoltaic devices, organic transistors, and inorganic thin film transistors. The diamond-like film layers can also provide for edge sealing of adhesive bond lines in the assemblies.
    Type: Application
    Filed: June 11, 2009
    Publication date: October 8, 2009
    Inventors: Fred B. McCormick, Moses M. David, Mark A. Roehrig, Manoj Nirmal
  • Patent number: 7595096
    Abstract: A method of manufacturing vacuum plasma treated workpieces includes the steps of introducing at least one workpiece to be treated into a vacuum chamber; treating the workpiece in the vacuum chamber, thereby establishing a plasma discharge in the vacuum chamber by a supply signal with maximum energy at a first frequency which is at least in the Hf frequency range; removing the workpiece treated from the vacuum chamber; performing a cleaning inside the vacuum chamber, thereby establishing the plasma discharge by a supply signal with maximum energy at a second frequency higher than the Hf frequency; and repeating these steps at least one time.
    Type: Grant
    Filed: July 23, 2004
    Date of Patent: September 29, 2009
    Assignee: OC Oerlikon Balzers AG
    Inventors: Mustapha Elyaakoubi, Phannara Aing, Rainer Ostermann, Klaus Neubeck, Benoit Riou
  • Patent number: 7595010
    Abstract: Adding at least one non-silicon precursor (such as a germanium precursor, a carbon precursor, etc.) during formation of a silicon nitride, silicon oxide, silicon oxynitride or silicon carbide film improves the deposition rate and/or makes possible tuning of properties of the film, such as tuning of the stress of the film. Also, in a doped silicon oxide or doped silicon nitride or other doped structure, the presence of the dopant may be used for measuring a signal associated with the dopant, as an etch-stop or otherwise for achieving control during etching.
    Type: Grant
    Filed: October 26, 2007
    Date of Patent: September 29, 2009
    Assignee: International Business Machines Corporation
    Inventors: Ashima B. Chakravarti, Judson Holt, Kevin K. Chan, Sadanand V. Deshpande, Rangarajan Jagannathan
  • Publication number: 20090215281
    Abstract: The present invention pertains to methods of depositing low stress/high index multi-layer films on a substrate using an HDP-CVD process. The multi-layer films include two lining layers and a bulk gap-fill layer and the HDP-CVD process employs a reduced substrate bias power during deposition of at least the second lining layer. Deposition of the three layers occurs at reduced deposition temperatures which further reduces the stress of the multi-layer film. The lower stress results in less defectivity which improves the films ability to maintain optical confinement of radiation.
    Type: Application
    Filed: February 22, 2008
    Publication date: August 27, 2009
    Applicant: Applied Materials, Inc.
    Inventors: HEMANT P. MUNGEKAR, Young S. Lee, Agnieszka Jakubowicz, Zhong Qiang Hua, Rionard Purnawan, Sanjay Kamath, Walter Zygmunt
  • Publication number: 20090214801
    Abstract: To provide an ethylene/tetrafluoroethylene (ETFE) copolymer molded product of which the surface has a high hydrophilicity and which is excellent in the light transmission property; and a method for producing the molded product. A method for producing an ETFE copolymer molded product comprising a substrate 12 made of a material containing at least 50 mass % of an ETFE copolymer, and a fluorine-doped silicon oxide film 14 formed on the surface of the substrate 12, characterized by supplying electric power between electrodes so that the electrical power density between the electrodes becomes from 0.5 to 1.1 W/cm3 to cause discharge and thus to plasmatize the following mixed gas so as to form the silicon oxide film 14 on the substrate 12: Mixed gas comprising silicon tetrafluoride, oxygen and a hydrocarbon, wherein the atomic ratio of oxygen atoms to carbon atoms (O/C) is from 1 to 10, and the atomic ratio of oxygen atoms to silicon atoms (O/Si) is from 1.7 to 25.
    Type: Application
    Filed: April 29, 2009
    Publication date: August 27, 2009
    Applicant: Asahi Glass Company, Limited
    Inventors: Seiji HIGASHI, Chikaya Tamitsuji
  • Patent number: 7578889
    Abstract: Systematic and effective methodology to clean capacitively coupled plasma reactor electrodes and reduce surface roughness so that the cleaned electrodes meet surface contamination specifications and manufacturing yields are enhanced. Pre-cleaning of tools used in the cleaning process helps prevent contamination of the electrode being cleaned.
    Type: Grant
    Filed: March 30, 2007
    Date of Patent: August 25, 2009
    Assignee: Lam Research Corporation
    Inventors: Hong Shih, Yaobo Yin, Shun Jackson Wu, Armen Avoyan, John E. Daugherty, Linda Jiang
  • Patent number: 7569256
    Abstract: In a parallel flat plate type plasma CVD apparatus, plasma damage of constituent parts in a reaction chamber due to irregularity of dry cleaning in the reaction chamber is reduced and the cost is lowered. In the parallel flat plate type plasma CVD apparatus in which high frequency voltages of pulse waves having mutually inverted waveforms are applied to an upper electrode and a lower electrode, and the inversion interval of the pulse wave can be arbitrarily changed, the interior of the reaction chamber is dry cleaned.
    Type: Grant
    Filed: April 12, 2007
    Date of Patent: August 4, 2009
    Assignee: Semiconductor Energy Laboratory Co., Ltd.
    Inventor: Mitsuhiro Ichijo