Having Liquid And Vapor Etching Steps Patents (Class 438/704)
  • Patent number: 7759252
    Abstract: The present invention is related to a method of two-step backside-etching. First, a substrate with a plurality of hard masks is provided. Next, the back and the edge of the substrate are backside-etched to remove parts of the hard masks on the back and the edge of the substrate. Then, the hard masks and the substrate are patterned in sequence to form a plurality of trenches in the substrate. Finally, before performing a wet bath step, the edge of the substrate is backside-etched to remove needle structures on the edge of the substrate.
    Type: Grant
    Filed: July 10, 2007
    Date of Patent: July 20, 2010
    Assignee: Promos Technologies Inc.
    Inventor: Yeng-Peng Wang
  • Publication number: 20100173498
    Abstract: Methods of etching substrates employing a trim process for critical dimension control for integrated circuits are disclosed. In one embodiment, the method of etching includes providing a first hard mask layer over a target layer; providing a second hard mask layer over the first hard mask layer; providing a photoresist layer over the second hard mask layer; forming a pattern in the photoresist layer; transferring the pattern into the second hard mask layer; and trimming the second hard mask layer with the photoresist layer on top of the second hard mask layer. The top surface of the second hard mask layer is protected by the photoresist and the substrate is protected by the overlying first hard mask layer during the trim etch, which can therefore be aggressive.
    Type: Application
    Filed: February 2, 2010
    Publication date: July 8, 2010
    Applicant: MICRON TECHNOLOGY, INC.
    Inventors: Mirzafer K. Abatchev, Krupaker Murali Subramanian, Baosuo Zhou
  • Patent number: 7745337
    Abstract: A method that includes forming a gate of a semiconductor device on a substrate, and etching sidewall spacers on sides of the gate to provide a proximity value, where the proximity value is defined as a distance between the gate and an edge of a performance-enhancing region. The sidewall spacers are used to define the edge of the region during formation of the region in the substrate. The method also includes pre-cleaning the gate and the substrate in preparation for formation of the region, where the etching and the pre-cleaning are performed in a continuous vacuum.
    Type: Grant
    Filed: May 19, 2008
    Date of Patent: June 29, 2010
    Assignee: Globalfoundries Inc.
    Inventors: David G. Farber, Fred Hause, Markus Lenski, Anthony C. Mowry
  • Patent number: 7741222
    Abstract: An etch stop layer is formed over a first structure by depositing a metal oxide material over the first structure and annealing the deposited metal oxide material. A second structure is formed over the etch stop layer, and a formation is etched through the second structure using the etch stop layer as an etch stop.
    Type: Grant
    Filed: April 11, 2005
    Date of Patent: June 22, 2010
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Young-Sub You, Jae-Young Park, Won-Shik Shin, Hyeon-Deok Lee, Ki-Vin Im, Seok-Woo Nam, Hun-Young Lim, Won-Jun Jang, Yong-Woo Hyung
  • Patent number: 7737043
    Abstract: There are provided an inspection method of a compound semiconductor substrate that can have the amount of impurities at the surface of the compound semiconductor substrate reduced, a compound semiconductor substrate, a surface treatment method of a compound semiconductor substrate, and a method of producing a compound semiconductor crystal. In the inspection method of the surface of the compound semiconductor substrate, the surface roughness Rms of the compound semiconductor substrate is measured using an atomic force microscope at the pitch of not more than 0.4 nm in a scope of not more than 0.2 ?m square. The surface roughness Rms of the compound semiconductor substrate measured by the inspection method is not more than 0.2 nm.
    Type: Grant
    Filed: May 16, 2007
    Date of Patent: June 15, 2010
    Assignee: Sumitomo Electric Industries, Ltd.
    Inventors: Takayuki Nishiura, So Tanaka, Yusuke Horie, Kyoko Okita, Takatoshi Okamoto
  • Publication number: 20100144156
    Abstract: A method to integrate a micro electro mechanical system and a CMOS image sensor is disclosed. First a substrate is provided. The substrate includes a micro electro mechanical system (MEMS) region and a CMOS image sensor (CIS) region. The micro electro mechanical system region includes a micro electro mechanical system component and the CMOS image sensor region includes a CMOS image sensor element. Second, an etching procedure is performed on the substrate to form a micro electro mechanical system trench and a CMOS image sensor trench. The etching procedure includes at least a dry etching and at least a wet etching.
    Type: Application
    Filed: December 9, 2008
    Publication date: June 10, 2010
    Inventor: Hui-Shen Shih
  • Patent number: 7723221
    Abstract: A stacked film patterning method is provided which is capable of reliably removing residual substances remaining after etching of a metal film, improving etching uniformity of a silicon film, and preventing an occurrence of etching residues. A micro-crystal film and a chromium film are sequentially formed on an insulating film serving as a front-end film and the chromium film is etched to be patterned by using a resist as a mask. Next, a micro-crystal silicon film on which the residual substances exist is exposed to plasma of a mixed gas including chlorine gas and oxygen gas to selectively etch the residual substances on a surface of the micro-crystal silicon film. After that, the micro-crystal silicon film is dry etched.
    Type: Grant
    Filed: May 14, 2007
    Date of Patent: May 25, 2010
    Assignees: NEC Corporation, NEC LCD Technologies, Ltd.
    Inventor: Kenichi Hayashi
  • Patent number: 7718511
    Abstract: A processing method for a wafer includes: preparing a wafer which has a device region having plural devices formed on a surface of the wafer; and a peripheral reinforcing portion which is integrally formed around the device region and has a projection projecting outwardly on a rear surface of the wafer. The processing method further includes: holding the wafer on a holding surface of a rotatable holding table such that the rear surface of the wafer is exposed and the surface of the wafer closely contacts the holding table. The processing method further includes: thinning the peripheral reinforcing portion by cutting and removing at least the projection of the peripheral reinforcing portion of the wafer by using a cutting tool having a rotational shaft parallel to the holding surface, while rotating the wafer by rotating the holding table after the holding of the wafer. The peripheral reinforcing portion is thinned so as to have a thickness equal to or thinner than that of the device region by the thinning.
    Type: Grant
    Filed: March 27, 2007
    Date of Patent: May 18, 2010
    Assignee: Disco Corporation
    Inventors: Keiichi Kajiyama, Takatoshi Masuda
  • Publication number: 20100120256
    Abstract: A method for cleaning structured surfaces of semiconductor components to remove photoresist and etching residues after the etching of the surface, comprising: a) removal of the photoresist, b) treatment of the surface with an acidic aqueous solution comprising one or more acids and one or more oxidizing agents, c) treatment of the surface with an alkaline aqueous solution and d) washing of the surface with demineralized water, the steps a), b) and c) being effected before step d).
    Type: Application
    Filed: May 9, 2008
    Publication date: May 13, 2010
    Applicant: BASF SE
    Inventors: Berthold Ferstl, Andreas Kuehner
  • Publication number: 20100120255
    Abstract: A semiconductor device manufacturing method includes: forming a core pattern on a foundation film, the core pattern containing a material generating acid by light exposure; selectively exposing part of the core pattern except an longitudinal end portion; supplying a mask material onto the foundation film so as to cover the core pattern, the mask material being crosslinkable upon supply acid from the core pattern; etching back the mask material to expose an upper surface of the core pattern and remove a portion of the mask material formed on the end portion of the core pattern, thereby leaving a mask material side wall portion formed on a side wall of the core pattern; and removing the core pattern and processing the foundation film by using the mask material sidewall portion left on the foundation film as a mask.
    Type: Application
    Filed: September 22, 2009
    Publication date: May 13, 2010
    Inventors: Kentaro MATSUNGA, Hirokazu Kato, Tomoya Oori
  • Publication number: 20100111802
    Abstract: By determining a control direction of a pulling-up velocity without using a position or a width of an OSF region as an index, a subsequent pulling-up velocity profile is fed back and adjusted. A silicon single crystal ingot that does not include a COP and a dislocation cluster is grown by a CZ method, a silicon wafer is sliced from the silicon single crystal ingot, reactive ion etching is performed on the silicon wafer in an as-grown state, and a grown-in defect including silicon oxide is exposed as a protrusion on an etching surface. A growing condition in subsequent growing is fed back and adjusted on the basis of an exposed protrusion generation region. As a result, feedback with respect to a nearest batch can be performed without performing heat treatment to expose a defect.
    Type: Application
    Filed: October 23, 2009
    Publication date: May 6, 2010
    Applicant: SUMCO CORPORATION
    Inventors: Shigeru UMENO, Keiichiro HIRAKI, Hiroaki TAGUCHI
  • Publication number: 20100105211
    Abstract: A method for selectively removing nano-crystals on an insulating layer. The method includes providing an insulating layer with nano-crystals thereon; exposing the nano-crystals to a high density plasma comprising a source of free radical chlorine, ionic chlorine, or both to modify the nano-crystals; and removing the modified nano-crystals with a wet etchant.
    Type: Application
    Filed: December 30, 2009
    Publication date: April 29, 2010
    Inventors: Ramakanth Alapati, Paul Morgan, Max Hineman
  • Patent number: 7700490
    Abstract: A residue treatment system includes a treatment tank which treats residue with etching fluid, the residue being generated in a trench formed in an insulating film by dry etching; a measurement unit which measures a characteristic amount of the etching fluid; and a control unit which calculates treatment time for removing the residue on the basis of a value obtained by measuring the characteristic amount, the control unit calculating the treatment time by using correlation between an etching rate of the insulating film and the characteristic amount.
    Type: Grant
    Filed: January 19, 2007
    Date of Patent: April 20, 2010
    Assignee: Kabushiki Kaisha Toshiba
    Inventors: Tsuyoshi Matsumura, Kazuhiko Takase
  • Publication number: 20100093177
    Abstract: A silicon wafer surface other than a defect is oxidized by ozone to form a silicon oxide film. A hydrofluoric acid is sprayed and subsequently a cleaning gas is sprayed onto the surface of the silicon wafer.
    Type: Application
    Filed: September 30, 2009
    Publication date: April 15, 2010
    Applicant: SUMCO TECHXIV CORPORATION
    Inventors: Kazuaki KOZASA, Tomonori KAWASAKI, Takahisa SUGIMAN, Hironori NISHIMURA
  • Patent number: 7696098
    Abstract: A unipolar semiconductor laser is provided in which an active region is sandwiched in a guiding structure between an upper and lower cladding layer, the lower cladding layer being situated on a semiconducting substrate. The unipolar semiconductor laser comprises a raised ridge section running from end to end between end mirrors defining the laser cavity. The ridge section aids in optical and electrical confinement. The ridge waveguide is divided in a plurality of cavity segments (at least two). Lattice structures can be arranged on and/or adjacent to these cavity segments. Each cavity segment is in contact with upper metallic electrodes. A metallic electrode coupled to the bottom surface of the semiconducting substrate facilitates current injection through the device.
    Type: Grant
    Filed: October 12, 2007
    Date of Patent: April 13, 2010
    Assignee: Nanoplus GmbH
    Inventors: Marc Fischer, Alfred Forchel
  • Patent number: 7682977
    Abstract: This invention includes methods of forming trench isolation. In one implementation, isolation trenches are provided within a semiconductor substrate. A liquid is deposited and solidified within the isolation trenches to form a solidified dielectric within the isolation trenches. The dielectric comprises carbon and silicon, and can be considered as having an elevationally outer portion and an elevationally inner portion within the isolation trenches. At least one of carbon removal from and/or oxidation of the outer portion of the solidified dielectric occurs. After such, the dielectric outer portion is etched selective to and effective to expose the dielectric inner portion. After the etching, dielectric material is deposited over the dielectric inner portion to within the isolation trenches.
    Type: Grant
    Filed: May 11, 2006
    Date of Patent: March 23, 2010
    Assignee: Micron Technology, Inc.
    Inventor: Li Li
  • Publication number: 20100055915
    Abstract: [Problems] A processing apparatus and a processing method that shorten a lead time and are more reliable than before in respect of the processing performance are provided. [Means for Solving Problems] The processing apparatus has a chamber, a retaining means provided in the chamber for retaining a workpiece, an active atom supplying means for supplying an active atom into the chamber, and a chemical supplying means for supplying a chemical into the chamber. For the surface of the workpiece, dry processing by the active atom supplied from the active atom supplying means and wet processing by the chemical supplied from the chemical supplying means are performed.
    Type: Application
    Filed: April 20, 2007
    Publication date: March 4, 2010
    Inventors: Masato Kanegae, Akitoshi Okino, Hidekazu Miyahara
  • Publication number: 20100055916
    Abstract: A method for decapsulating a package is provided. The method comprises steps of providing a package having a chip therein, wherein the chip has an active surface and a rear surface. Further, the package further comprises a heat sink, a plurality of solder bumps, a substrate, an underfill and a plurality of solder balls. The method further comprises removing the heat sink and removing the substrate together with the solder balls. A dry etching process is performed to remove a portion of the underfill. A wet etching process is performed to remove the rest portion of the underfill. A thermal process solder bump removal process is performed to melt the solder bumps and then a solder bump removal process is performed to remove the melted solder bumps from the active surface of the chip.
    Type: Application
    Filed: November 12, 2009
    Publication date: March 4, 2010
    Inventor: Tung-Yi Shih
  • Patent number: 7670497
    Abstract: A composition that may be used for cleaning a metal containing conductor layer, such as a copper containing conductor layer, within a microelectronic structure includes an aqueous acid, along with an oxidant material and a passivant material contained within the aqueous acid. The composition does not include an abrasive material. The composition is particularly useful for cleaning a residue from a copper containing conductor layer and an adjoining dielectric layer that provides an aperture for accessing the copper containing conductor layer within a microelectronic structure.
    Type: Grant
    Filed: July 6, 2007
    Date of Patent: March 2, 2010
    Assignees: International Business Machines Corporation, Infineon Technologies AG
    Inventors: John A. Fitzsimmons, David L. Rath, Shom Ponoth, Michael Beck
  • Patent number: 7666321
    Abstract: A method for decapsulating a package is provided. The method comprises steps of providing a package having a chip therein, wherein the chip has an active surface and a rear surface. Further, the package further comprises a heat sink, a plurality of solder bumps, a substrate, an underfill and a plurality of solder balls. The method further comprises removing the heat sink and removing the substrate together with the solder balls. A dry etching process is performed to remove a portion of the underfill. A wet etching process is performed to remove the rest portion of the underfill. A thermal process solder bump removal process is performed to melt the solder bumps and then a solder bump removal process is performed to remove the melted solder bumps from the active surface of the chip.
    Type: Grant
    Filed: September 26, 2006
    Date of Patent: February 23, 2010
    Assignee: United Microelectronics Corp.
    Inventor: Tung-Yi Shih
  • Publication number: 20100041236
    Abstract: The present disclosure provides a method for making metal gate stacks of a semiconductor device. The method includes applying a first dry etching process to a semiconductor substrate in an etch chamber through openings of a patterned mask layer defining gate regions, removing a polysilicon layer and a metal gate layer on the semiconductor substrate; applying a H2O steam to the semiconductor substrate in the etch chamber, removing a capping layer on the semiconductor substrate; applying a second dry etching process to the semiconductor substrate in the etch chamber, removing a high k dielectric material layer; and applying a wet etching process to the semiconductor substrate to remove polymeric residue.
    Type: Application
    Filed: February 6, 2009
    Publication date: February 18, 2010
    Applicant: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD.
    Inventors: Jr Jung Lin, Yih-Ann Lin, Ryan Chia-Jen Chen
  • Publication number: 20100041237
    Abstract: A method for forming a fine pattern using isotropic etching, includes the steps of forming an etching layer on a semiconductor substrate, and coating a photoresist layer on the etching layer, performing a lithography process with respect to the etching layer coated with the photoresist layer, and performing a first isotropic etching process with respect to the etching layer including a photoresist pattern formed through the lithography process, depositing a passivation layer on the etching layer including the photoresist pattern, and performing a second isotropic etching process with respect to the passivation layer. The second isotropic etching process is directly performed without removing the predetermined portion of the passivation layer.
    Type: Application
    Filed: September 20, 2007
    Publication date: February 18, 2010
    Inventors: Sang-Yu Lee, Jee-Heum Paik, Soo-Hong Kim, Chang-Woo Yoo, Sung-Woon Yoon
  • Patent number: 7655484
    Abstract: A method of fabricating a nitride-based semiconductor device capable of reducing contact resistance between a nitrogen face of a nitride-based semiconductor substrate or the like and an electrode is provided. This method of fabricating a nitride-based semiconductor device comprises steps of etching the back surface of a first semiconductor layer consisting of either an n-type nitride-based semiconductor layer or a nitride-based semiconductor substrate having a wurtzite structure and thereafter forming an n-side electrode on the etched back surface of the first semiconductor layer.
    Type: Grant
    Filed: April 26, 2005
    Date of Patent: February 2, 2010
    Assignee: Sanyo Electric Co., Ltd.
    Inventors: Tadao Toda, Tsutomu Yamaguchi, Masayuki Hata, Yasuhiko Nomura
  • Patent number: 7652334
    Abstract: A method and structure for forming a semiconductor structure. A semiconductor substrate is provided. A trench is formed within the semiconductor substrate. A first layer of electrically insulative material is formed within the trench. A first portion and a second portion of the first layer of electrically insulative material is removed. A second layer of electrically insulative material is selectively grown on the first layer comprising the removed first portion and the removed second portion.
    Type: Grant
    Filed: October 3, 2007
    Date of Patent: January 26, 2010
    Assignee: International Business Machines Corporation
    Inventors: Toshiharu Furukawa, Mark C. Hakey, Steven J. Holmes, David V. Horak, Charles W. Koburger, III
  • Patent number: 7648915
    Abstract: Some embodiments include methods of recessing multiple materials to a common depth utilizing etchant comprising C4F6 and C4F8. The recessed materials may be within isolation regions, and the recessing may be utilized to form trenches for receiving gatelines. Some embodiments include structures having an island of semiconductor material laterally surrounded by electrically insulative material. Two gatelines extend across the insulative material and across the island of semiconductor material. One of the gatelines is recessed deeper into the electrically insulative material than the other.
    Type: Grant
    Filed: January 12, 2007
    Date of Patent: January 19, 2010
    Assignee: Micron Technology, Inc.
    Inventors: Larson Lindholm, Aaron R. Wilson, David K. Hwang
  • Patent number: 7632696
    Abstract: A semiconductor chip including a semiconductor substrate provided with a semiconductor device region and a porous single crystal layer, where the semiconductor device region is formed on the main surface portion of the semiconductor substrate, and the porous single crystal layer is formed in an inner region on the backside of the semiconductor substrate, and is comprised of erosion holes extending continuously from the backside of the semiconductor substrate in an inward direction of the semiconductor substrate, oxide films formed on inner surfaces of the erosion holes, and a single crystal portion.
    Type: Grant
    Filed: March 10, 2006
    Date of Patent: December 15, 2009
    Assignee: Elpida Memory, Inc.
    Inventors: Kiyonori Oyu, Koji Hamada, Kensuke Okonogi, Hideharu Miyake, Yasushi Kozuki, Masaharu Watanabe
  • Patent number: 7629266
    Abstract: The invention includes an etchant composition containing isopropyl alcohol and one or more of HF, NH4F and tetramethyl ammonium fluoride (TMAF). The invention encompasses a method of processing a substrate. A substrate is provided which has a first material containing at least one of polysilicon, monocrystalline silicon and amorphous silicon, and a second material. The substrate is exposed to an etch composition which comprises isopropyl alcohol and at least one of HF, NH4F and TMAF. The invention includes a method of processing a semiconductor construction including providing a construction which has a capacitor electrode material and an oxide material along at least a portion of the capacitor electrode material. At least some of the oxide material is removed by isotropic etching utilizing an etchant composition comprising isopropyl alcohol.
    Type: Grant
    Filed: March 1, 2007
    Date of Patent: December 8, 2009
    Assignee: Micron Technology, Inc.
    Inventors: Janos Fucsko, Grady S. Waldo, Joseph Wiggins, Prashant Raghu
  • Patent number: 7625813
    Abstract: A method of fabricating a recess channel in a semiconductor device includes forming a hard mask pattern over a substrate, etching the substrate using the hard mask pattern to form first recesses, forming an insulation layer over the hard mask pattern and the first recesses, etching the insulation layer to form spacers on sidewalls of the first recesses and on sidewalls of the hard mask pattern, etching the substrate below the first recesses to form second recesses using a sulfur fluoride containing gas mixture, and removing the hard mask pattern and the spacers.
    Type: Grant
    Filed: December 28, 2006
    Date of Patent: December 1, 2009
    Assignee: Hynix Semiconductor Inc.
    Inventor: Tae-Woo Jung
  • Patent number: 7618887
    Abstract: A method of forming a metal line in a semiconductor device including forming a first insulation layer and a first etch stop layer on a conductive layer, and forming a first photosensitive layer pattern on the first etch stop layer; forming a first opening by etching the first etch stop layer; forming a second insulation layer and a second etch stop layer on the first insulation layer and the first etch stop layer, and forming a second photosensitive layer pattern on the second etch stop layer; forming a second opening by etching the second etch stop layer; simultaneously forming an inter-connection groove and a via hole by etching the first insulation layer and the second insulation layer using the second etch stop layer and the first etch stop layer as a mask; and forming a metal line by filling the inter-connection groove and the via hole with conductive materials.
    Type: Grant
    Filed: December 16, 2005
    Date of Patent: November 17, 2009
    Assignee: Dongbu Electronics Co., Ltd.
    Inventor: Se-Yeul Bae
  • Patent number: 7611992
    Abstract: A semiconductor light emitting element including a conductive substrate, a bonding metal layer formed on the conductive substrate, a barrier layer formed on the bonding metal layer, a reflective layer formed on the barrier layer, an ohmic electrode layer formed on the reflective layer, a second conductivity type semiconductor layer formed on the ohmic electrode layer, a light emitting layer formed on the second conductivity type semiconductor layer, and a first conductivity type semiconductor layer formed on the light emitting layer, wherein outer peripheries of the second conductivity type semiconductor layer, the light emitting layer, and the first conductivity type semiconductor layer are removed, and a method of manufacturing the same are provided.
    Type: Grant
    Filed: November 19, 2007
    Date of Patent: November 3, 2009
    Assignee: Sharp Kabushiki Kaisha
    Inventor: Atsuo Tsunoda
  • Patent number: 7592201
    Abstract: As a step in performing a process on a structure, a hole pattern is provided in a thin layer of organic resin masking material formed over the structure to provide a process mask. A processing step is then performed through the openings in the mask, and after a processing step is completed the mask is adjusted by a re-flow process in which the structure is placed into an atmosphere of solvent vapor of a solvent of the mask material. By way of the reflow process, the mask material softens and re-flows to reduce the size of the openings in the mask causing edges of the surface areas on which the processing step was performed to be covered by the mask for subsequent processing steps.
    Type: Grant
    Filed: September 9, 2004
    Date of Patent: September 22, 2009
    Assignee: CSG Solar AG
    Inventors: Trevor Lindsay Young, Rhett Evans
  • Publication number: 20090233389
    Abstract: A method for manufacturing a thin film transistor and a display device using a small number of masks is provided. A conductive film is formed, a thin-film stack body having a pattern is formed over the conductive film, an opening portion is formed in the thin-film stack body so as to reach the conductive film, a gate electrode layer is formed by processing the conductive film using side-etching, and an insulating layer, a semiconductor layer, and a source and drain electrode layer are formed over the gate electrode layer, whereby a thin film transistor is manufactured. By provision of the opening portion, controllability of etching is improved.
    Type: Application
    Filed: March 4, 2009
    Publication date: September 17, 2009
    Applicant: SEMICONDUCTOR ENERGY LABORATORY CO., LTD.
    Inventors: Hidekazu Miyairi, Takafumi Mizoguchi
  • Publication number: 20090233447
    Abstract: A method of recycling a control wafer having a dielectric layer deposited thereon involves removing most of the dielectric layer by plasma etching leaving a residual film of the dielectric and then removing the residual dielectric film by a wet etching process. The combination of the dry and wet etching provides effective removal of the dielectric material without damaging the wafer substrate and any residual wet etching byproduct particulate remaining on the wafer substrate is then removed by APM cleaning and scrubbing.
    Type: Application
    Filed: March 11, 2008
    Publication date: September 17, 2009
    Applicant: TAIWAN SEMICONDUCTOR MANUFACTURING CO., LTD.
    Inventors: Jin-Lin Liang, Yu-Sheng Su, Tai-Yung Yu, Perre Kao, Pin-Chia Su, Li Te Hsu
  • Publication number: 20090223561
    Abstract: With the present invention, two wafers for a solar cell only whose light receiving surfaces are selectively etched can be simultaneously obtained by overlapping the two wafers and performing a single-sided etching or an asymmetric etching thereon. The present invention provides a method of etching a wafer comprising: performing a single-sided etching or an asymmetric etching on the wafer, wherein the performing the single-sided etching or the asymmetric etching comprises: overlapping two wafers whose one sides face each other; and etching the overlapped two wafers, and a solar cell including the etched wafers.
    Type: Application
    Filed: February 19, 2009
    Publication date: September 10, 2009
    Applicant: LG Electronics Inc.
    Inventors: Jong-Dae KIM, Bum-Sung Kim, Ju-Hwan Yun, Young-Hyun Lee
  • Publication number: 20090221147
    Abstract: A method of fabricating a semiconductor device according to an embodiment includes: forming a core material on a workpiece material; forming a cover film to cover the upper and side surfaces of the core material; after forming the cover film, removing the core material; after removing the core material, removing the cover film while leaving portions thereof located on the side surfaces of the core material, so as to form sidewall spacer masks; and etching the workpiece material by using the sidewall spacer masks as a mask.
    Type: Application
    Filed: February 27, 2009
    Publication date: September 3, 2009
    Inventors: Keisuke Kikutani, Katsunori Yahashi
  • Publication number: 20090215156
    Abstract: The present invention relates to a method of fabricating a nanogap and a nanogap sensor, and to a nanogap and a nanogap sensor fabricated using the method. The present invention relates to a method of fabricating a nanogap and a nanogap sensor, which can be realized by an anisotropic etching using a semiconductor manufacturing process. According to the method of present invention, the nanogap and nanogap sensor can be simply and cheaply produced in large quantities.
    Type: Application
    Filed: September 5, 2006
    Publication date: August 27, 2009
    Inventors: Bong hyun Chung, Sang kyu Kim, Hye Jung Park
  • Patent number: 7569489
    Abstract: The present invention relates to high performance three-dimensional (3D) field effect transistors (FETs). Specifically, a 3D semiconductor structure having a bottom surface oriented along one of a first set of equivalent crystal planes and multiple additional surfaces oriented along a second, different set of equivalent crystal planes can be used to form a high performance 3D FET with carrier channels oriented along the second, different set of equivalent crystal planes. More importantly, such a 3D semiconductor structure can be readily formed over the same substrate with an additional 3D semiconductor structure having a bottom surface and multiple additional surfaces all oriented along the first set of equivalent crystal planes. The additional 3D semiconductor structure can be used to form an additional 3D FET, which is complementary to the above-described 3D FET and has carrier channels oriented along the first set of equivalent crystal planes.
    Type: Grant
    Filed: September 7, 2007
    Date of Patent: August 4, 2009
    Assignee: International Business Machines Corporation
    Inventors: Thomas W. Dyer, Haining S. Yang
  • Patent number: 7566659
    Abstract: There are provided a method of forming a fine pattern of a semiconductor device using a silicon germanium sacrificial layer, and a method of forming a self-aligned contact using the same. The method of forming a self-aligned contact of a semiconductor device includes forming a conductive line structure having a conductive material layer, a hard mask layer, and a sidewall spacer on a substrate, and forming a silicon germanium (Si1-xGex) sacrificial layer, which has a height equal to or higher than a height of at least the conductive line structure, on an entire surface of the substrate. Then, a photoresist pattern for defining a contact hole is formed on the sacrificial layer, and the sacrificial layer is dry-etched, thereby forming a contact hole for exposing the substrate. A plurality of contacts for filling the contact hole are formed using polysilicon, and the remained sacrificial layer is wet-etched.
    Type: Grant
    Filed: June 21, 2005
    Date of Patent: July 28, 2009
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Keun-Hee Bai, Kyeong-Koo Chi, Chang-Jin Kang, Cheol-Kyu Lee
  • Publication number: 20090166871
    Abstract: A metal line includes a lower metal line formed on a semiconductor substrate. An insulation layer is formed on the semiconductor substrate having the lower metal line, and a metal line forming region exposing at least a portion of the lower metal line is defined in the insulation layer. A diffusion barrier is formed on a surface of the metal line forming region of the insulation layer and includes a WNx layer, a W—N—B ternary layer, and a Ti—N—B ternary layer. A wetting layer is formed on the diffusion barrier and is made of one of a Ti layer or a TiN layer. An upper metal line is formed on the wetting layer to fill the metal line forming region of the insulation layer.
    Type: Application
    Filed: December 5, 2008
    Publication date: July 2, 2009
    Inventors: Baek Mann KIM, Seung Jin YEOM, Dong Ha JUNG, Jeong Tae KIM
  • Publication number: 20090160028
    Abstract: An exemplary method for forming gaps in a micromechanical device includes providing a substrate. A first material layer is deposited over the substrate. A sacrificial layer is deposited over the first material layer. A second material layer is deposited over the sacrificial layer such that at least a portion of the sacrificial layer is exposed. The exposed portion of the sacrificial layer is etched by dry etching. The remaining portion of the sacrificial layer is etched by wet etching to form gaps between the first material layer and the second material layer. One or more bulges are formed at one side of the second material layer facing the first material layer, and are a portion of the sacrificial layer remaining after the wet etching.
    Type: Application
    Filed: October 9, 2008
    Publication date: June 25, 2009
    Applicants: TSINGHUA UNIVERSITY, HON HAI PRECISION INDUSTRY CO., LTD.
    Inventors: CHENG-RONG YI-LI, QUN-QING LI, SHOU-SHAN FAN
  • Patent number: 7544621
    Abstract: A method of removing a metal silicide layer on a gate electrode in a semiconductor manufacturing process is disclosed, in which the gate electrode, a metal silicide layer, a spacer, a silicon nitride cap layer, and a dielectric layer have been formed. The method includes performing a chemical mechanical polishing process to polish the dielectric layer using the silicon nitride cap layer as a polishing stop layer to expose the silicon nitride cap layer over the gate electrode; removing the exposed silicon nitride cap layer to expose the metal silicide layer; and performing a first etching process to remove the metal silicide layer on the gate electrode.
    Type: Grant
    Filed: November 1, 2005
    Date of Patent: June 9, 2009
    Assignee: United Microelectronics Corp.
    Inventors: Cheng-Kuen Chen, Chih-Ning Wu, Wei-Tsun Shiau, Wen-Fu Yu
  • Patent number: 7540968
    Abstract: A micro movable device includes a base substrate, a fixed portion bonded to the base substrate, a movable portion having a fixed end connected to the fixed portion and extending along the base substrate, and a piezoelectric drive provided on the movable portion and the fixed portion on a side opposite to the base substrate. The piezoelectric drive has a laminate structure provided by a first electrode film contacting the movable portion and the fixed portion, a second electrode film and a piezoelectric film between the first and the second electrode films. At least one of the movable portion and the fixed portion is provided with a groove extending along the piezoelectric drive.
    Type: Grant
    Filed: March 16, 2006
    Date of Patent: June 2, 2009
    Assignee: Fujitsu Limited
    Inventors: Anh Tuan Nguyen, Tadashi Nakatani, Takeaki Shimanouchi, Masahiko Imai, Satoshi Ueda
  • Patent number: 7528076
    Abstract: A method of manufacturing gate oxide layers with different thicknesses is disclosed. The method includes that a substrate is provided first. The substrate has a high voltage device region and a low voltage device region. Then, a high voltage gate oxide layer is formed on the substrate. Afterwards, a first wet etching process is performed to remove a portion of the high voltage gate oxide layer in the low voltage device region. Then, a second wet etching process is performed to remove the remaining high voltage gate oxide layer in the low voltage device region. The etching rate of the second wet etching process is smaller than that of the first wet etching process. Next, a low voltage gate oxide layer is formed on the substrate in the low voltage device region.
    Type: Grant
    Filed: May 11, 2007
    Date of Patent: May 5, 2009
    Assignee: United Microelectronics Corp.
    Inventors: Shu-Chun Chan, Jung-Ching Chen, Shyan-Yhu Wang
  • Publication number: 20090111205
    Abstract: An embodiment of this invention discloses a method of separating two material systems, which comprises steps of providing a bulk sapphire; forming a nitride system on the bulk sapphire; forming at least two channels between the bulk sapphire and the nitride system; etching at least one inner surface of the channel; and separating the bulk sapphire and the nitride system.
    Type: Application
    Filed: October 16, 2008
    Publication date: April 30, 2009
    Applicant: EPISTAR CORPORATION
    Inventors: Ya-Ju Lee, Ta-Cheng Hsu, Min-Hsun Hsieh
  • Publication number: 20090104767
    Abstract: A two-step via cleaning process that removes metal polymer and oxide polymer residues from a via with substantially no damage to the via or underlying structures on a semiconductor substrate. The via is formed through a dielectric layer and a barrier layer that are disposed over a metal-containing trace disposed on a semiconductor substrate. The sidewalls of the via may be coated with a residue layer including a distinct oxide polymer component and a distinct metal polymer component. The two-step cleaning process comprises subjecting the residue layer to a nitric acid dip that removes the metal polymer component to expose the oxide polymer component. The oxide polymer component is then subjected to a phosphoric acid dip that removes the oxide polymer component. The oxide polymer and metal polymer residues may also be removed during the fabrication of the via by removing them directly after their respective formations.
    Type: Application
    Filed: December 22, 2008
    Publication date: April 23, 2009
    Applicant: MICRON TECHNOLOGY, INC.
    Inventor: Li Li
  • Patent number: 7521366
    Abstract: A manufacturing method of an electro line for a liquid crystal display device includes depositing a barrier layer made of a conducting material on a substrate, depositing a copper layer (Cu) on the barrier layer, wet-etching the Cu layer using a first etchant, and dry-etching the barrier layer using a second etchant using the wet-etched Cu layer as an etch mask.
    Type: Grant
    Filed: December 10, 2002
    Date of Patent: April 21, 2009
    Assignee: LG Display Co., Ltd.
    Inventors: Oh-Nam Kwon, Kyoung-Mook Lee, Heung-Lyul Cho, Seung-Hee Nam, Cyoo-Chul Jo
  • Publication number: 20090087994
    Abstract: A method of forming a fine pattern begins with providing a c-plane hexagonal semiconductor crystal. A mask having a predetermined pattern is formed on the semiconductor crystal. The semiconductor crystal is dry-etched by using the mask to form a first fine pattern on the semiconductor crystal. The semiconductor crystal including the first fine pattern is wet-etched to expand the first fine pattern in a horizontal direction to form a second fine pattern. The second fine pattern obtained in the wet-etching the semiconductor crystal has a bottom surface and a sidewall that have unique crystal planes, respectively. The present fine-pattern forming process can be advantageously applied to a semiconductor light emitting device, particularly, to a phonic crystal structure required to have fine patterns or a structure using a surface plasmon resonance principle.
    Type: Application
    Filed: September 26, 2008
    Publication date: April 2, 2009
    Inventors: Jong Ho LEE, Moo Youn Park, Soo Ryong Hwang, Il Hyung Jung, Gwan Su Lee, Jin Ha Kim
  • Patent number: 7507670
    Abstract: Methods for cleaning silicon surfaces of electrode assemblies by efficiently removing contaminants from the silicon surfaces without discoloring the silicon surfaces using an acidic solution comprising hydrofluoric acid, nitric acid, acetic acid, and balance deionized water.
    Type: Grant
    Filed: December 23, 2004
    Date of Patent: March 24, 2009
    Assignee: Lam Research Corporation
    Inventors: Hong Shih, Tuochuan Huang, Chunhong Zhou
  • Patent number: 7492012
    Abstract: A light emitting device is provided which has a structure for preventing degradation of a light emitting element due to water and oxygen contained in an interlayer insulating film formed between a TFT and the light emitting element. A TFT is formed on a substrate, an inorganic insulating film is formed on the TFT from an inorganic material and serves as a first insulating film, an organic insulating film is formed on the first insulating film from an organic material and serves as a second insulating film, and an inorganic insulating film is formed on the second insulating film from an inorganic material and serves as a third insulating film. Thus obtained is a structure for preventing the second insulating film from releasing moisture and oxygen. In order to avoid defect in forming the film, a portion of the third insulating film where a contact hole is formed is removed alone.
    Type: Grant
    Filed: March 9, 2006
    Date of Patent: February 17, 2009
    Assignee: Semiconductor Energy Laboratory Co., Ltd.
    Inventors: Satoshi Murakami, Toru Takayama, Kengo Akimoto
  • Patent number: 7482192
    Abstract: A MEMS device having a proof mass resiliently mounted above a substrate has projections formed on adjacent surfaces of the mass and substrate. The device is formed by creating a plurality of holes in the upper layer. A substance suitable for removing the intermediate layer without substantially removing the upper layer and substrate is introduced through the holes. A substance removing the upper layer, the substrate, or both, is then introduced through the holes to remove a small amount of the substrate and upper layer. Portions of the intermediate layer between the projections are then removed. The dimple structure fabricated from this process will prevent MEMS device stiction both in its final release and device operation.
    Type: Grant
    Filed: May 16, 2006
    Date of Patent: January 27, 2009
    Assignee: Honeywell International Inc.
    Inventors: Lianzhong Yu, Ken L. Yang