Having Liquid And Vapor Etching Steps Patents (Class 438/704)
  • Publication number: 20070287294
    Abstract: Methods for fabricating interconnect structures are provided. An exemplary method for fabricating an interconnect comprises providing a substrate with a first dielectric layer thereon. At least one conductive feature is formed in the first dielectric layer. A conductive cap is selectively formed to overlie the conductive feature. A surface treatment is performed on the first dielectric layer and the conductive cap. A second dielectric layer is then formed to overlie the first dielectric layer.
    Type: Application
    Filed: June 8, 2006
    Publication date: December 13, 2007
    Inventors: Ting-Chu Ko, Ming-Hsing Tsai, Shau-Lin Shue
  • Publication number: 20070275562
    Abstract: Provided are an injection head, and a substrate treatment apparatus and method using the same. The substrate treatment apparatus includes a rotatable spin head supporting a substrate, an injection head installed on the spin head to supply a fluid to a bottom surface of the substrate supported on the spin head, and a fluid supply unit supplying the fluid to the injection head.
    Type: Application
    Filed: May 24, 2007
    Publication date: November 29, 2007
    Inventors: Hyun-Jong Kim, Young-Ki Ahn
  • Publication number: 20070259531
    Abstract: Semiconductor wafers are cut from a crystal and subjected to a series of processing steps in which material is removed from a front side and a rear side of the semiconductor wafers, comprising the following processing steps: a mechanical processing step, an etching step in which the semiconductor wafers are oxidized and material is removed from the front side of the wafers with the aid of a gaseous etchant containing hydrofluoric acid at a temperature of 20 to 70° C., and a polishing step in which the front side of the semiconductor wafer is polished, the processing steps in which the front side of the semiconductor wafer is polished causing material removal which does not amount to more than 5 ?m in total.
    Type: Application
    Filed: May 4, 2007
    Publication date: November 8, 2007
    Applicant: SILTRONIC AG
    Inventors: Maximilian Stadler, Gunter Schwab, Diego Feijoo, Karlheinz Langsdorf
  • Publication number: 20070259530
    Abstract: A layer structure comprising a smoothed interlayer and an overlying layer applied on the interlayer, wherein the interlayer is treated with a gaseous etchant containing hydrogen fluoride, a material removal being obtained thereby and the interlayer being smoothed.
    Type: Application
    Filed: May 3, 2007
    Publication date: November 8, 2007
    Applicant: SILTRONIC AG
    Inventors: Diego Feijoo, Gunter Schwab, Thomas Buschhardt
  • Patent number: 7291559
    Abstract: In a method of manufacturing a semiconductor device, a dummy sample and an actual device are prepared. The dummy sample and the actual device have substantially an identical layer and an identical resist pattern formed on the layer. Then, a dummy discharge is carried out. The layer and the resist pattern of the dummy sample are etched in an etching device so that the layer and the resist pattern of the dummy device are simultaneously slimmed. Finally, the layer and the resist pattern of the actual device are etched in the etching device after the etching of the dummy sample so that the layer and the resist pattern of the actual device are simultaneously slimmed.
    Type: Grant
    Filed: December 15, 2004
    Date of Patent: November 6, 2007
    Assignee: Oki Electric Industry Co., Ltd.
    Inventor: Akira Takahashi
  • Patent number: 7291562
    Abstract: In the present invention a dummy structure is formed in a first deposited layer in order to create topography, generally a raised area, in a deposited layer formed above and later than the first deposited layer. This topography may be advantageous in later steps. In one embodiment, transferred topography allows an alignment or overlay mark obscured by an opaque layer to be located by this enhanced topography. In another embodiment, a raised volume of dielectric material prevents features at the outside of an array area from being overpolished during a CMP step. This method may prove useful in other contexts as well. The size, shape, and placement of the dummy structure is tailored to form the desired excess volume.
    Type: Grant
    Filed: December 9, 2005
    Date of Patent: November 6, 2007
    Inventors: Yung-Tin Chen, Samuel V Dunton
  • Patent number: 7279419
    Abstract: Methods of forming a contact structure for semiconductor assemblies are described. One method provides process steps to create an inner dielectric isolation layer after the contact region is protected, which is followed by the formation of the self-aligned contact structures. A second method provides process steps to create an inner dielectric isolation layer after the self-aligned contact structures are formed.
    Type: Grant
    Filed: July 28, 2006
    Date of Patent: October 9, 2007
    Assignee: Micron Technology, Inc.
    Inventors: Hyun T. Kim, Byron N. Burgess
  • Patent number: 7276175
    Abstract: A semiconductor device fabrication method comprises (1) forming a patterned mask layer on an oxide layer of a Mn-containing perovskite type oxide; (2) heat-treating the oxide layer; and (3) patterning the oxide layer with an etching solution containing at least one of hydrochloric acid, sulfuric acid, and nitric acid after the heat treatment of the oxide layer.
    Type: Grant
    Filed: February 23, 2005
    Date of Patent: October 2, 2007
    Assignee: Sharp Kabushiki Kaisha
    Inventor: Takuya Otabe
  • Publication number: 20070218695
    Abstract: A method of forming a metal pattern comprising forming a metal film having a lower layer made of a metal and an upper layer made of a metal different from the metal of the lower layer, forming a resist film having a predetermined pattern on the upper layer, and patterning the metal film by etching the metal film using the resist film as a mask. Here, patterning the metal film comprises etching the upper layer, immersing the resist film and the upper layer in a pretreatment liquid containing a nonionic surfactant after the first etching process, and etching the lower layer after the immersing process.
    Type: Application
    Filed: March 15, 2007
    Publication date: September 20, 2007
    Applicant: SEIKO EPSON CORPORATION
    Inventors: Shinya Momose, Kazushige Hakeda
  • Patent number: 7271080
    Abstract: Electrically erasable programmable read only memory (EEPROM) cells and methods of fabricating the same are provided. An EEPROM cell includes an isolation layer formed at a semiconductor substrate to define an active region. A source region, a buried N+ region and a drain region are serially disposed at the active region. A memory gate is disposed to cross-over the buried N+ region. A first channel region is formed between the source region and the buried N+ region. A tunnel region is located between the buried N+ region and the memory gate and self-aligned with the buried N+ region.
    Type: Grant
    Filed: January 10, 2006
    Date of Patent: September 18, 2007
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Young-Ho Kim, Ho-Bong Shin
  • Patent number: 7267127
    Abstract: A method for manufacturing an electronic device comprising the steps of: dry-etching a Ti-containing metal film formed on a substrate with a gas containing fluorine; and treating the substrate with a chemical solution containing fluorine ions after the dry etching step.
    Type: Grant
    Filed: September 12, 2005
    Date of Patent: September 11, 2007
    Assignee: Matsushita Electric Inductrial Co., Ltd.
    Inventors: Masayuki Watanabe, Yukihisa Wada
  • Publication number: 20070197036
    Abstract: A method for manufacturing an electro-optic device includes an electroconductive film forming step that forms an electroconductive film over surfaces of a substrate. A front electroconductive film removing step is also performed which removes the electroconductive film from the front surface of the substrate. A thin layer forming step is performed to form thin layers on the front surface of the substrate. Then, a rear electroconductive film removing step is performed which removes the electroconductive film from the rear surface of the substrate. At least one of the front electroconductive film removing step and the rear electroconductive film removing step is performed by applying a chemical agent capable of etching with the substrate rotated, to the rotation center of the surface of the substrate that is to be subjected to the removal of the electroconductive film, while a dry gas is jetted to the rotation center of the other surface that is not subjected to the removal of the electroconductive film.
    Type: Application
    Filed: February 20, 2007
    Publication date: August 23, 2007
    Applicant: Seiko Epson Corporation
    Inventors: Eiichi Miura, Atsuhito Matsuo
  • Patent number: 7253114
    Abstract: A method is provided for forming at least three devices with different gate oxide thicknesses and different associated operating voltages, in the same integrated circuit device. The method includes forming a plurality of gate oxides with different thicknesses in high voltage and low voltage areas in the same integrated circuit device. A dry etching operation is used to remove the relatively thick gate oxide from the high voltage area using photoresist masking of the low voltage area and a hard mask in the high voltage area, to mask the gate oxide films. A wet etching procedure is then used to remove the gate oxide film from the low voltage areas. The hard mask may be formed over a polysilicon structure.
    Type: Grant
    Filed: March 16, 2005
    Date of Patent: August 7, 2007
    Assignee: Taiwan Semiconductor Manufacturing Company
    Inventors: Chien-Mao Chen, Jun Xiu Liu, Cuker Huang, Chi-Hsuen Chang
  • Patent number: 7247247
    Abstract: A selective etching method with lateral protection function is provided. The steps includes: (a) providing a substrate; (b) forming a plurality of tunnels; (c) forming a lateral strengthening structure at a peripheral wall of the tunnels; (d) removing a bottom portion of the lateral strengthening structure, and a part of the substrate by an etching process so as to form a lower structure and expose an unstrengthened structure; and (f) etching the unstrengthened structure laterally so as to form an upper structure.
    Type: Grant
    Filed: May 6, 2004
    Date of Patent: July 24, 2007
    Assignee: Walsin Lihwa Corporation
    Inventors: Jerwei Hsieh, Huai-Yuan Chu, Julius Ming-Lin Tsai, Weileun Fang
  • Patent number: 7241639
    Abstract: A method for manufacturing a color filter having a picture element part surrounded by a partition wall and provided in the plural number on a substrate including a step of forming the partition wall that has a lyophobic quality on the substrate, step of forming a lyophilic layer in the picture element part by applying a lyophilic liquid that develops the lyophobic quality to a substantially whole surface of the substrate on which the partition wall is formed all at once and a step of applying a droplet of colorant to the picture element part in which the lyophilic layer is formed.
    Type: Grant
    Filed: May 13, 2005
    Date of Patent: July 10, 2007
    Assignee: Seiko Epson Corporation
    Inventors: Naoyuki Toyoda, Tomomi Kawase
  • Patent number: 7235491
    Abstract: A method of manufacturing a spacer for a substrate having a gate structure formed thereon. The method comprises steps of forming a first oxide layer over the substrate and forming a nitride layer on the first oxide layer. A first asymmetric etching process is performed to remove a portion of the nitride layer until a portion of a top surface of the first oxide layer is exposed. A second asymmetric etching process is performed to remove a portion of the first oxide layer by using the remaining nitride layer as a mask until about 50% to 90% portion of the first oxide layer is removed. A quick wet etching process is performed to remove a portion of the remaining first oxide located on the top of the gate structure and on the substrate.
    Type: Grant
    Filed: May 4, 2005
    Date of Patent: June 26, 2007
    Assignee: United Microelectronics Corp.
    Inventors: Chun-Jen Weng, Chia-Jui Liu
  • Patent number: 7229926
    Abstract: In an independent GaN film manufactured by creating a GaN layer on a base heterosubstrate using vapor-phase deposition and then removing the base substrate, owing to layer-base discrepancy in thermal expansion coefficient and lattice constant, warp will be a large ±40 ?m to ±100 ?m. Since with that warp device fabrication by photolithography is challenging, reducing the warp to +30 ?m to ?20 ?m is the goal. The surface deflected concavely is ground to impart to it a damaged layer that has a stretching effect, making the surface become convex. The damaged layer on the surface having become convex is removed by etching, which curtails the warp. Alternatively, the convex surface on the side opposite the surface having become convex is ground to generate a damaged layer. With the concave surface having become convex due to the damaged layer, suitably etching off the damaged layer curtails the warp.
    Type: Grant
    Filed: October 29, 2004
    Date of Patent: June 12, 2007
    Assignee: Sumitomo Electric Industries, Ltd.
    Inventor: Naoki Matsumoto
  • Patent number: 7230293
    Abstract: A semiconductor memory device is provided, including a substrate and storage nodes formed on the substrate from a silicon oxide layer, the layer having been substantially removed by wet etching the silicon oxide layer to a predetermined depth of the storage nodes and dry etching the remaining portion of the silicon oxide layer to expose the storage nodes.
    Type: Grant
    Filed: February 18, 2005
    Date of Patent: June 12, 2007
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Sang-yong Kim, Kun-tack Lee, Yong-pil Han
  • Patent number: 7226866
    Abstract: A reticle manufacturing method comprises a step of retreating side surfaces of a lift-off pattern to reduce an area of a wide pattern portion, a step of forming a wide convex pattern and a narrow convex pattern by etching a glass substrate (transparent substrate) while using a second mask pattern as a mask, a step of reducing an area of a first wide mask portion, a step of reducing at least an area of a second wide mask portion smaller than an area of the first wide mask portion, and a step of reducing an area of a wide light shielding portion by etching the wide light shielding portion while using the first wide mask portion as a mask.
    Type: Grant
    Filed: December 29, 2004
    Date of Patent: June 5, 2007
    Assignee: Fujitsu Limited
    Inventors: Hisatsugu Shirai, Kiyoshi Ozawa
  • Patent number: 7205197
    Abstract: In a process for fabricating a nonvolatile semiconductor memory of the tunneling type, when tunnel windows are formed in an oxide film on a semiconductor substrate, the oxide film is etched first by a dry etching process, then by a wet etching process. The dry etching process quickly removes most of the oxide material in the window areas, without enlarging the windows laterally, but stops short of the substrate, thereby avoiding damage to the substrate surface. The wet etching process takes the windows the rest of the way down to the semiconductor substrate surface. Since only a small amount of oxide needs to be wet-etched, lateral enlargement of the windows by the wet etching process can be tightly controlled, and small tunnel windows can be formed without the need for extravagantly sophisticated fabrication equipment.
    Type: Grant
    Filed: January 20, 2006
    Date of Patent: April 17, 2007
    Assignee: Oki Electric Industry Co., Ltd.
    Inventor: Katsuji Yoshida
  • Patent number: 7202175
    Abstract: The present invention discloses a technique of removing a substance from a substrate surface, such as stripping photoresist from a wafer, or forming a substance on a substrate surface. Substrates to be treated are parallel arranged at an equal interval and are immersed in a liquid with only a lower portion thereof being below the liquid surface. Gas such as ozone is introduced into the liquid and is continuously bubbling below the substrates. The bubbles will ascend between two adjacent substrates and climb on the surfaces of the substrates before they burst. The liquid boundary layers on the substrate surfaces are compressed and refreshed in the course of a dragging ascent of the bubbles, enhancing mass transfer between gas/liquid/solid substances across the liquid boundary layer, thereby resulting in a fast reaction and a fast treatment of the surface of the substrates.
    Type: Grant
    Filed: November 7, 2003
    Date of Patent: April 10, 2007
    Assignee: Industrial Technology Research Institute
    Inventors: Kon-Tsu Kin, Chiou-Mei Chen, Jen-Chung Lou, Ching-Yi Hsu, Farhang Shadman
  • Patent number: 7198727
    Abstract: The present invention provides an optical microbench having intersecting structures etched into a substrate. In particular, microbenches in accordance with the present invention include structures having a planar surfaces formed along selected crystallographic planes of a single crystal substrate. Two of the structures provided are an etch-stop pit and an anisotropically etched feature disposed adjacent the etch-stop pit. At the point of intersection between the etch-stop pit and the anisotropically etched feature the orientation of the crystallographic planes is maintained. The present invention also provides a method for micromachining a substrate to form an optical microbench. The method comprises the steps of forming an etch-stop pit and forming an anisotropically etched feature adjacent the etch-stop pit. The method may also comprise coating the surfaces of the etch-stop pit with an etch-stop layer.
    Type: Grant
    Filed: June 14, 2005
    Date of Patent: April 3, 2007
    Assignee: Shipley Company, L.L.C.
    Inventors: Dan A. Steinberg, Larry J. Rasnake
  • Patent number: 7195946
    Abstract: A method is provided for fabricating a semiconductor device that includes a suspended micro-system. According to the method, a silicon porous layer is formed above a silicon substrate, and the silicon porous layer is oxidized. An oxide layer is deposited, and a first polysilicon layer is deposited above the oxide layer. The first polysilicon layer, the oxide layer, and the silicon porous layer are selectively removed. A nitride layer is deposited, and a second polysilicon layer is deposited. The second polysilicon layer, the nitride layer, the first polysilicon layer, and the oxide layer are selectively removed. The silicon porous layer is removed in areas made accessible by the previous step. Also provided is a semiconductor device that includes a suspended structure fixed to at least two walls through a plurality of hinges, with the suspended structure including an oxide layer, a first polysilicon layer, a nitride layer, and a second polysilicon layer.
    Type: Grant
    Filed: July 2, 2004
    Date of Patent: March 27, 2007
    Assignee: STMicroelectronics, S.r.L.
    Inventors: Giuseppe D'Arrigo, Rosario Corrado Spinella
  • Patent number: 7192848
    Abstract: A semiconductor element is formed by forming at least one p-n junction on a semiconductor wafer (1), a recess (8) is formed around the semiconductor element by etching, an insulating film (5) is formed on a surface of the recess, and a metal film (6a) is deposited, by sputtering or vacuum evaporation, on a surface of an exposed semiconductor layer (4) and the insulating film (5) on the semiconductor wafer. And after improving an adhesion between the semiconductor layer and the metal film by a thermal treatment, the metal film on the insulating film is removed selectively by blasting high pressured water on the surface of the semiconductor wafer. Consequently, a mesa semiconductor chip is obtained by cutting the semiconductor wafer under the recess. As a result, an electrode of the mesa semiconductor device obtained by the above method is formed uniformly on a surface of the semiconductor layer and is not formed on the insulating film.
    Type: Grant
    Filed: February 15, 2005
    Date of Patent: March 20, 2007
    Assignee: Rohm Co., Ltd.
    Inventor: Ryuichi Neki
  • Patent number: 7179744
    Abstract: A method for fabricating a semiconductor device includes the steps of: (a) forming a plurality of conductive patterns on a substrate in a cell region and a peripheral circuit region; (b) forming an insulation layer on an entire surface of the resulting structure from the step. (a); (c) forming a plurality of plugs in the cell region and simultaneously forming a dummy pattern in a region between the cell region and the peripheral circuit region, each plug and the dummy pattern being contacted to the substrate allocated between the conductive patterns by passing through the insulation layer; (d) forming a photoresist pattern masking the resulting structure in the cell region; and (e) removing the insulation layer in the peripheral circuit region by performing a wet etching process with use of the photoresist pattern as an etch mask to thereby expose a surface of the substrate in the peripheral circuit region.
    Type: Grant
    Filed: July 11, 2003
    Date of Patent: February 20, 2007
    Assignee: Hynix Semiconductor Inc.
    Inventors: Sung-Kwon Lee, Min-Suk Lee, Sang-Ik Kim
  • Patent number: 7172971
    Abstract: Semiconductor devices having a contact window and fabrication methods thereof are provided. A lower dielectric layer, conductive patterns and an upper dielectric layer are formed sequentially on a semiconductor substrate. The lower dielectric layer has a higher isotropic etch rate than that of the upper dielectric layer. The upper dielectric layer and the lower dielectric layer are patterned by anisotropic etching to form a trench without exposing the semiconductor substrate. The resultant structure is subject to isotropic etching to expose the substrate and to form a contact window having a wider width in a lower region than in an upper region without damaging the semiconductor substrate.
    Type: Grant
    Filed: June 9, 2005
    Date of Patent: February 6, 2007
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Jeong-Sic Jeon, Jae-Woong Kim
  • Patent number: 7171975
    Abstract: A method for etching an ultra-shallow channel includes using an etch process that is selective for one material to etch a different material in order to achieve a very precise channel depth in the different material. Channels as shallow as 10 nm can be fabricated in silicon with precision of 5 nm or better using the method. Stepped channels can be fabricated where each segment is a different depth, with the segments being between 10 nm and 1000 nm in depth. The method is applied to create a fluidic channel which includes a channel substrate to which is bonded a lid substrate to confine fluids to the fluidic channels so fabricated.
    Type: Grant
    Filed: February 12, 2003
    Date of Patent: February 6, 2007
    Assignee: Kionix, Inc.
    Inventors: James E. Moon, Lincoln C. Young
  • Patent number: 7160751
    Abstract: A process for making a microelectromechanical device having a moveable component defined by a gap pattern in a semiconductor layer of a silicon-on-insulator wafer involves the use of a plurality of deep reactive ion etching steps at various etch depths that are used to allow a buried oxide layer of the silicon-on-insulator wafer to be exposed in selected areas before the entire moveable component of the resulting device is freed for movement. This method allows wet release techniques to be used to remove the buried oxide layer without developing stiction problems. This is achieved by utilizing deep reactive ion etching to free the moveable component after a selected portion of the buried oxide layer has been removed by wet etching.
    Type: Grant
    Filed: June 13, 2005
    Date of Patent: January 9, 2007
    Assignee: Delphi Technologies, Inc.
    Inventor: Dan W. Chilcott
  • Patent number: 7157378
    Abstract: A method for making a semiconductor device is described. That method comprises forming a dielectric layer on a substrate, forming a trench within the dielectric layer, and forming a high-k gate dielectric layer within the trench. After forming a first metal layer on the high-k gate dielectric layer, a second metal layer is formed on the first metal layer. At least part of the second metal layer is removed from above the dielectric layer using a polishing step, and additional material is removed from above the dielectric layer using an etch step.
    Type: Grant
    Filed: July 6, 2004
    Date of Patent: January 2, 2007
    Assignee: Intel Corporation
    Inventors: Justin K. Brask, Chris E. Barns, Mark L. Doczy, Uday Shah, Jack Kavalieros, Matthew V. Metz, Suman Datta, Anne E. Miller, Robert S. Chau
  • Patent number: 7148148
    Abstract: A mask forming method that can reduce manufacturing cost is disclosed. The method forms a mask on the surface of a member to be processed in order to form a desired pattern using liquid material for patterning. The method also includes applying resist to the entire surface of the member to be processed, drying the applied resist, patterning by removing the resist in a pattern-formation area using photolithography, and heating the resist.
    Type: Grant
    Filed: December 4, 2002
    Date of Patent: December 12, 2006
    Assignee: Seiko Epson Corporation
    Inventors: Yoshiaki Mori, Takuya Miyakawa, Mitsuru Sato, Shintaro Asuke, Kenichi Takagi
  • Patent number: 7148149
    Abstract: A method for fabricating a nitride semiconductor element according to the present invention comprises the steps of: forming a nitride semiconductor layer 13 on a base substrate 11; forming, on part of the upper surface of the nitride semiconductor layer 13, a conductive film 14 made of an electron emitting layer 14b and a dry etching mask layer 14a from bottom to top; performing dry etching on the nitride semiconductor layer 13; and performing wet etching on the nitride semiconductor layer 13 by emitting electrons from the nitride semiconductor layer 13 through the conductive film 14 to the outside.
    Type: Grant
    Filed: December 22, 2004
    Date of Patent: December 12, 2006
    Assignee: Matsushita Electric Industrial Co., Ltd.
    Inventors: Hiroshi Ohno, Satoshi Tamura, Tetsuzo Ueda
  • Patent number: 7147709
    Abstract: The present invention provides a method of forming a strained semiconductor layer. The method comprises growing a strained first semiconductor layer, having a graded dopant profile, on a wafer, having a first lattice constant. The dopant imparts a second lattice constant to the first semiconductor layer. The method further comprises growing a strained boxed second semiconductor layer having the second lattice constant on the first semiconductor layer and growing a sacrificial third semiconductor layer having the first lattice constant on the second semiconductor layer. The method further comprises etch annealing the third and second semiconductor layer, wherein the third semiconductor layer is removed and the second semiconductor layer is relaxed.
    Type: Grant
    Filed: November 4, 2003
    Date of Patent: December 12, 2006
    Assignee: Silicon Genesis Corporation
    Inventors: Philip Ong, Francois Henley, Igor Malik
  • Patent number: 7132368
    Abstract: A method for processing integrated circuit memory devices. The method includes supporting a partially completed substrate, the substrate comprising a plurality of MOS gate structures. Each of the gate structures has substantially vertical regions that define sides of the gate structures. The method forms a conformal dielectric layer overlying the gate structures. The conformal dielectric layer has a predetermined thickness of material that covers each of the gate structures including vertical regions. The method also forms sidewall spacers on the sides of the gate structures from the conformal dielectric layer using an anisotropic etching process and exposes a portion of the substrate region during the formation of the sidewall spacers using the anisotropic etching process to cause physical damage (e.g., plasma damage, cracks) to a portion of the exposed portion of the substrate.
    Type: Grant
    Filed: August 26, 2004
    Date of Patent: November 7, 2006
    Assignee: Semiconductor Manufacturing International (Shanghai) Corporation
    Inventors: Mingching Wang, Kuang-Yu Huang, Chi-po Liao, Yan-Shi Tian
  • Patent number: 7119006
    Abstract: A method of fabricating an integrated circuit, having copper metallization formed by a dual damascene process, is disclosed. A layered insulator structure is formed over a first conductor (22), within which a second conductor (40) is formed to contact the first conductor. The layered insulator structure includes a via etch stop layer (24), an interlevel dielectric layer (26), a trench etch stop layer (28), an intermetal dielectric layer (30), and a hardmask layer (32). The interlevel dielectric layer (26) and the intermetal dielectric layer (30) are preferably of the same material. A via is partially etched through the intermetal dielectric layer (30), and through an optional trench etch stop layer (28). A trench location is then defined by photoresist (38), and this trench location is transferred to the hardmask layer (32).
    Type: Grant
    Filed: November 26, 2002
    Date of Patent: October 10, 2006
    Assignee: Texas Instruments Incorporated
    Inventor: Robert Kraft
  • Patent number: 7105361
    Abstract: A method of patterning a layer of magnetic material to form isolated magnetic regions. The method forms a mask on a film stack comprising a layer of magnetic material such that the protected and unprotected regions are defined. The unprotected regions are etched in a high temperature environment to form isolated magnetic regions.
    Type: Grant
    Filed: January 6, 2003
    Date of Patent: September 12, 2006
    Assignee: Applied Materials, Inc.
    Inventors: Xiaoyi Chen, Chentsau Ying, Padmapani C. Nallan, Ajay Kumar
  • Patent number: 7105447
    Abstract: To provide an etching method capable of forming a cavity portion having a large space portion or a complicated structure by etching a sacrifice layer through a very fine etching opening at favorable accuracy in configuration. An etching process of a object is carried out by exposing the object to a processing fluid containing etching reaction seed (the third step S3, the fourth step S4), and then, the pressure in the processing chamber is reduced to make a density of the processing fluid around the object lower than that in the fourth step S4 (the first step S1). While the first step S1 to the first step S4 are repeated, in the third step S3 and the fourth step S4 executed after the first step S1, the processing fluid containing etching reaction seed is newly supplied to the processing atmosphere in which the object is placed to make the density of the processing fluid around the object higher than that in the first step S1.
    Type: Grant
    Filed: November 3, 2004
    Date of Patent: September 12, 2006
    Assignee: Sony Corporation
    Inventor: Tsutomu Oosaka
  • Patent number: 7091127
    Abstract: The present invention provides methods and apparatus for locally patterning surfaces. In one such method, an oxidizable thioether is adsorbed onto a conductive surface. The surface is then contacted with a fluid medium. A conducting stamp is then brought into contact with the fluid medium above the thioether-coated surface. Next, a potential is applied between the stamp and the surface. It is expected that the charge will be transferred through the medium to the coated surface along a shortest distance path, thereby locally oxidizing the thioether and effectively creating a negative patterned image of the conducting stamp on the surface. Remaining adsorbed thioether may then be used as a mask for standard etching or material addition procedures.
    Type: Grant
    Filed: September 18, 2002
    Date of Patent: August 15, 2006
    Assignee: Ecole Polytechnique Federale de Lausanne
    Inventors: Jeffrey A. Hubbell, Jane P. Bearinger, Marcus Textor
  • Patent number: 7091128
    Abstract: A method for forming a CMOS device in a manner so as to avoid dielectric layer undercut during a pre-silicide cleaning step is described. During formation of CMOS device comprising a gate stack on a semiconductor substrate surface, the patterned gate stack including gate dielectric below a conductor with vertical sidewalls, a dielectric layer is formed thereover and over the substrate surfaces. Respective nitride spacer elements overlying the dielectric layer are formed at each vertical sidewall. The dielectric layer on the substrate surface is removed using an etch process such that a portion of the dielectric layer underlying each spacer remains. Then, a nitride layer is deposited over the entire sample (the gate stack, the spacer elements at each gate sidewall, and substrate surfaces) and subsequently removed by an etch process such that only a portion of said nitride film (the “plug”) remains.
    Type: Grant
    Filed: November 4, 2005
    Date of Patent: August 15, 2006
    Assignee: International Business Machines Corporation
    Inventors: Atul C. Ajmera, Andres Bryant, Percy V. Gilbert, Michael A. Gribelyuk, Edward P. Maciejewski, Renee T. Mo, Shreesh Narasimha
  • Patent number: 7087531
    Abstract: A method and structure for forming a semiconductor structure. A semiconductor substrate is provided. A trench is formed within the semiconductor substrate. A first layer of electrically insulative material is formed within the trench. A first portion and a second portion of the first layer of electrically insulative material is removed. A second layer of electrically insulative material is selectively grown on the first layer comprising the removed first portion and the removed second portion.
    Type: Grant
    Filed: January 17, 2005
    Date of Patent: August 8, 2006
    Assignee: International Business Machines Corporation
    Inventors: Toshiharu Furukawa, Mark C. Hakey, Steven J. Holmes, David V. Horak, Charles W. Koburger, III
  • Patent number: 7084072
    Abstract: Disclosed is a method of manufacturing a semiconductor device. The method includes the steps of forming a gate in a cell region and a peripheral region of a substrate, depositing a buffer oxide layer on the gate and the substrate, annealing a resultant structure of the substrate, depositing a nitride spacer layer on the buffer oxide layer, depositing an oxide spacer layer on the nitride spacer layer, forming an oxide spacer at the peripheral region of the substrate, and removing the oxide spacer layer remaining in the cell region. The annealing step is additionally carried out after depositing the buffer oxide layer so as to improve the interfacial surface characteristic and film quality, so that oxide etchant is prevented from penetrating into the silicon substrate during the wet dip process. Unnecessary voids are prevented from being created in the silicon substrate.
    Type: Grant
    Filed: June 23, 2004
    Date of Patent: August 1, 2006
    Assignee: Hynix Semiconductor Inc.
    Inventors: Cheol Hwan Park, Sang Ho Woo, Chang Rock Song, Dong Su Park, Tae Hyeok Lee
  • Patent number: 7060624
    Abstract: Flared and non-flared metallized deep vias having aspect ratios of about 2 or greater are provided. Blind vias have been fabricated in silicon substrates up to a depth of about 300 microns, and flared through vias have been fabricated up to about 750 microns, the approximate thickness of a silicon substrate wafer, enabling the formation of electrical connections at either or both ends of a via. In spite of the depth and high aspect ratios attainable, the etched vias are completely filled with plated copper conductor, completing the formation of deep vias and allowing fuller use of both sides of the substrate.
    Type: Grant
    Filed: August 13, 2003
    Date of Patent: June 13, 2006
    Assignee: International Business Machines Corporation
    Inventors: Panayotis Andricacos, Emanuel Israel Cooper, Timothy Joseph Dalton, Hariklia Deligianni, Daniel Guidotti, Keith Thomas Kwietniak, Michelle Leigh Steen, Cornelia Kang-I Tsang
  • Patent number: 7052617
    Abstract: A process for producing multiple undercut profiles in a single material. A resist pattern is applied over a work piece and a wet etch is performed to produce an undercut in the material. This first wet etch is followed by a polymerizing dry etch that produces a polymer film in the undercut created by the first wet etch. The polymer film prevents further etching of the undercut portion during a second wet etch. Thus, an undercut profile can be obtained having a larger undercut in an underlying portion of the work piece, utilizing only a single resist application step. The work piece may be a multi-layer work piece having different layers formed of the same material, or it may be a single layer of material.
    Type: Grant
    Filed: December 13, 2002
    Date of Patent: May 30, 2006
    Assignee: Micron Technology, Inc.
    Inventors: Karen Huang, Christophe Pierrat
  • Patent number: 7041603
    Abstract: There is provided a magnetic memory device which has a small switching current for a writing line and which has a small variation therein. A method for producing such a magnetic memory device includes: forming a magnetoresistive effect element; forming a first insulating film so as to cover the magnetoresistive effect element; forming a coating film so as to cover the first insulating film; exposing a top face of the magnetoresistive effect element; forming an upper writing line on the magnetoresistive effect element; exposing the first insulating film on a side portion of the magnetoresistive effect element by removing a part or all of the coating film; and forming a yoke structural member so as to cover at least a side portion of the upper writing line and so as to contact the exposed first insulating film on the side portion of the magnetoresistive effect element.
    Type: Grant
    Filed: March 26, 2003
    Date of Patent: May 9, 2006
    Assignee: Kabushiki Kaisha Toshiba
    Inventors: Minoru Amano, Tatsuya Kishi, Yoshiaki Saito, Tomomasa Ueda, Hiroaki Yoda
  • Patent number: 7041605
    Abstract: The present invention provides a semiconductor contact structure and a method of forming the same. An interlayer dielectric is patterned to form a contact hole that exposes a predetermined region of conductive material on a semiconductor substrate. A recess is formed in the conductive material exposed by the contact hole and undercuts the walls that define the sides of the contact hole such that the recess is wider than the contact hole. A contact plug fills the recess as well as the contact hole. The contact plug is maintained in position stably atop the underlying conductive material because the lower part of the contact plug is wider than the upper part of the contact plug. Accordingly, the contact plug will not fall over even if the interlayer dielectric reflows during a subsequent process.
    Type: Grant
    Filed: July 2, 2004
    Date of Patent: May 9, 2006
    Assignee: Samsung Electronics Co., Ltd.
    Inventor: Seung-Whan Lee
  • Patent number: 7037849
    Abstract: A method of patterning a layer of high-k dielectric material is provided, which may be used in the fabrication of a semiconductor device. A first etch is performed on the high-k dielectric layer. A portion of the high-k dielectric layer being etched with the first etch remains after the first etch. A second etch of the high-k dielectric layer is performed to remove the remaining portion of the high-k dielectric layer. The second etch differs from the first etch. Preferably, the first etch is a dry etch process, and the second etch is a wet etch process. This method may further include a process of plasma ashing the remaining portion of the high-k dielectric layer after the first etch and before the second etch.
    Type: Grant
    Filed: June 27, 2003
    Date of Patent: May 2, 2006
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Hsien-Kuang Chiu, Baw-Ching Perng, Hun-Jan Tao
  • Patent number: 7037842
    Abstract: A method and apparatus for processing a wafer is described. According to the present invention a wafer is placed on a substrate support. A liquid is then fed through a conduit having an output opening over the wafer. A gas is dissolved in the liquid prior to the liquid reaching the output over the wafer by flowing a gas into the conduit through a venturi opening formed in the conduit. The liquid with dissolved gas is then fed through the opening and onto the wafer where it can be used to etch, clean, or rinse a wafer.
    Type: Grant
    Filed: August 7, 2003
    Date of Patent: May 2, 2006
    Assignee: Applied Materials, Inc.
    Inventors: Steven Verhaverbeke, J. Kelly Truman
  • Patent number: 7033848
    Abstract: A light emitting device is provided which has a structure for preventing degradation of a light emitting element due to water and oxygen contained in an interlayer insulating film formed between a TFT and the light emitting element. A TFT is formed on a substrate, an inorganic insulating film is formed on the TFT from an inorganic material and serves as a first insulating film, an organic insulating film is formed on the first insulating film from an organic material and serves as a second insulating film, and an inorganic insulating film is formed on the second insulating film from an inorganic material and serves as a third insulating film. Thus obtained is a structure for preventing the second insulating film from releasing moisture and oxygen. In order to avoid defect in forming the film, a portion of the third insulating film where a contact hole is formed is removed alone.
    Type: Grant
    Filed: February 18, 2005
    Date of Patent: April 25, 2006
    Assignee: Semiconductor Energy Laboratory Co., Ltd.
    Inventors: Satoshi Murakami, Toru Takayama, Kengo Akimoto
  • Patent number: 7030025
    Abstract: Disclosed herein is a method of manufacturing a FLOTOX type EEPROM. According to the method, the thickness of an oxide film in a tunneling implanted region is formed thicker than that of an oxide film in a peripheral active region by use of enhanced oxidation of the tunneling implanted region. Then, a tunnel window region and the peripheral active region are dry-etched simultaneously and an etching end point of the peripheral active region is detected. Thereafter, the oxide film that remains in the tunnel window region is removed by wet etching.
    Type: Grant
    Filed: March 11, 2004
    Date of Patent: April 18, 2006
    Assignee: Oki Electric Industry Co., Ltd.
    Inventor: Masahiko Sinozawa
  • Patent number: 7030024
    Abstract: A method of fabricating a dual-gate on a substrate and an integrated circuit having a dual-gate structure are provided. A first high-K dielectric layer is formed in a first area defined for a first gate structure and in a second area defined for a second gate structure. A second high-K dielectric layer is formed in the first and second areas. The first high-K dielectric layer has a lower etch rate to an etchant relative to the second high-K dielectric layer. The second high-K dielectric layer is etched from the second area to said first high-K dielectric layer with the etchant, and a gate conductive layer is formed in the first and second areas over the second high-K dielectric layer and first high-K dielectric layer, respectively.
    Type: Grant
    Filed: August 23, 2002
    Date of Patent: April 18, 2006
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Tuo-Hung Ho, Ming-Fang Wang, Chi-Chun Chen, Chih-Wei Yang, Liang-Gi Yao, Chih-Chang Chen
  • Patent number: 7022612
    Abstract: Organic etch residues are often left within vias formed by etching through resist masks. Since the etch is designed to expose an underlying metal layer and is directional in order to produce vertical via sidewalls, the residue often incorporates metal. The present invention discloses a method of removing such etch residues while passivating exposed metal, including exposing the residue to ammonia. In the disclosed embodiment, ammonia and oxygen are mixed in a plasma step, such that the resist can be burned off at the same time as the residue treatment. The residue can thus be easily rinsed away.
    Type: Grant
    Filed: July 24, 2003
    Date of Patent: April 4, 2006
    Assignee: Micron Technology, Inc.
    Inventors: Larry Hillyer, Max F. Hinerman