Having Liquid And Vapor Etching Steps Patents (Class 438/704)
  • Patent number: 7482279
    Abstract: A method for fabricating a conducting layer pattern using a hard mask of which a upper surface is flattened by the use of ArF exposure light source.
    Type: Grant
    Filed: February 2, 2006
    Date of Patent: January 27, 2009
    Assignee: Hynix Semiconductor Inc.
    Inventor: Sung-Kwon Lee
  • Patent number: 7482208
    Abstract: The present invention relates to a thin film transistor array panel, a liquid crystal display, and a manufacturing method of the same. A TFT array for a LCD or an EL display is used as a circuit board for driving the respective pixels in an independent manner. The present invention provides pixel electrodes and contact assistants, which connect expansions of gate lines and data lines to an external circuit, having a structure of double layers including IZO layer and ITO layer. The ITO layer is disposed on the IZO layer. In the present invention, the pixel electrodes are formed to have double layers of IZO layer and ITO layer to avoid wires from getting damage by the ITO etchant and to prevent prove pins from having accumulation of foreign body during the gross test. In the present invention, the contact assistants may only be formed to have double layers of IZO layer and ITO layer to prevent prove pins from having accumulation of foreign body during the gross test.
    Type: Grant
    Filed: September 16, 2004
    Date of Patent: January 27, 2009
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Sang-Gab Kim, Je-Min Lee, Gwan-Young Cho, Jong-Tae Jeong, In-Ho Song, Hee-Hwan Choe, Sung-Chul Kang, Ho-Min Kang, Beohm-Rock Choi, Joon-Hoo Choi
  • Patent number: 7476622
    Abstract: A gate is formed on a device formation region of a semiconductor substrate, and source and drain regions are formed in the device formation region of the semiconductor substrate adjacent respective sides of the gate. The gate is formed to include a gate dielectric layer, a gate conductive layer and sidewall spacers located at respective sidewalls of the gate conductive layer. An etch stop layer is formed over the source region, the drain region and the sidewall spacers of the gate to obtain an intermediate structure, and a planarized first interlayer insulating film is formed over a surface of the intermediate structure. The first insulating layer is dry etched until the etch stop layer over the source region, the drain region and the sidewall spacers is exposed to form self-aligned contact holes in the first interlayer insulating over the source region and the drain region, respectively.
    Type: Grant
    Filed: November 14, 2003
    Date of Patent: January 13, 2009
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Yong-joon Cho, Young-hee Kim, Young-hwan Yun, Doo-heun Baek
  • Patent number: 7468323
    Abstract: An etching process includes providing a dielectric first film on a substrate and a sacrificial second film on the dielectric first film. A conductive structure such as a container capacitor is formed in a recess in the first and second films. The conductive structure is exposed as to its external surface by an etch process that resists destructive collapse of the conductive structure.
    Type: Grant
    Filed: February 27, 2004
    Date of Patent: December 23, 2008
    Assignee: Micron Technology, Inc.
    Inventors: Kevin Torek, Kevin Shea, Thomas Graettinger
  • Patent number: 7462564
    Abstract: A processing system and method for chemical oxide removal (COR), wherein the processing system comprises a first treatment chamber and a second treatment chamber, wherein the first and second treatment chambers are coupled to one another. The first treatment chamber comprises a chemical treatment chamber that provides a temperature controlled chamber, and an independently temperature controlled substrate holder for supporting a substrate for chemical treatment. The substrate is exposed to a gaseous chemistry, such as HF/NH3, under controlled conditions including surface temperature and gas pressure. The second treatment chamber comprises a heat treatment chamber that provides a temperature controlled chamber, thermally insulated from the chemical treatment chamber. The heat treatment chamber provides a substrate holder for controlling the temperature of the substrate to thermally process the chemically treated surfaces on the substrate.
    Type: Grant
    Filed: January 24, 2006
    Date of Patent: December 9, 2008
    Assignee: Tokyo Electron Limited
    Inventors: Thomas Hamelin, Jay Wallace, Arthur Laflamme, Jr.
  • Patent number: 7459401
    Abstract: A method of dividing and separating a wafer having a plurality of devices formed on its front surface, which are separated by streets. The method includes applying a resist film coating to a portion of the back surface of the wafer other than an area corresponding to the streets, and plasma etching the area of the back surface corresponding to the streets to divide the wafer into a plurality of individual devices. The thickness of the resist film coating is adjusted in the coating operation to allow the resist film to be completely removed during the plasma etching.
    Type: Grant
    Filed: January 25, 2006
    Date of Patent: December 2, 2008
    Assignee: Disco Corporation
    Inventor: Takashi Ono
  • Publication number: 20080293240
    Abstract: A manufacturing method for a silicon carbide semiconductor device is disclosed. It includes an etching method in which an Al film and Ni film are laid on an SiC wafer in this order and wet-etched, whereby a two-layer etching mask is formed in which Ni film portions overhang Al film portions. Mesa grooves are formed by dry etching by using this etching mask.
    Type: Application
    Filed: May 20, 2008
    Publication date: November 27, 2008
    Applicant: FUJI ELECTRIC DEVICE TECHNOLOGY CO., LTD.
    Inventor: Yasuyuki KAWADA
  • Patent number: 7456086
    Abstract: A process for producing an insulation structure with openings of a low aspect ratio is disclosed. In one embodiment, a dopant is introduced into the insulation structure with a concentration which on average increases or decreases in the vertical direction from a pre-processed semiconductor surface, the openings are formed in a dry-etching step and the aspect ratio of the openings is reduced by increasing the basic surface area of the openings using a subsequent wet-chemical etching step.
    Type: Grant
    Filed: March 31, 2006
    Date of Patent: November 25, 2008
    Assignee: Infineon Technologies AG
    Inventor: Stefan Tegen
  • Patent number: 7456421
    Abstract: A programmable resistor memory, such as a phase change memory, with a memory element comprising narrow vertical side wall active pins is described. The side wall active pins comprise a programmable resistive material, such as a phase change material. In a first aspect of the invention, a method of forming a memory cell is described which comprises forming a stack comprising a first electrode having a principal surface with a perimeter, an insulating layer overlying a portion of the principal surface of the first electrode, and a second electrode vertically separated from the first electrode and overlying the insulating layer. Side walls on the insulating layer and on the second electrode are positioned over the principle surface of the first electrode with a lateral offset from the perimeter of the first electrode.
    Type: Grant
    Filed: May 3, 2006
    Date of Patent: November 25, 2008
    Assignee: Macronix International Co., Ltd.
    Inventor: Hsiang-Lan Lung
  • Patent number: 7452821
    Abstract: A method is disclosed by means of which contact holes (K1), (K2) and (K3), leading to integrated components can be produced with just one structuring mask, whereby contact holes (K1) and (K3) lead to contact regions (25e, 45e) in the substrate (5) and contact holes (K2) lead to contact regions (35c, 50c) located on layer stacks (35, 50). An auxiliary layer is used for the etching of contact holes (K1), (K2), (K3), which covers a part of the contact holes and thus serves as a selection mask. The auxiliary layer can be structured with a low-resolution lithography in comparison with the mask, such that only one single high-resolution lithography is necessary for the formation of all contact holes (K1), (K2), (K3). The method is particularly suitable for the simultaneous production of contact holes for transistors in the cell field and the logic field of a DRAM.
    Type: Grant
    Filed: April 18, 2002
    Date of Patent: November 18, 2008
    Assignee: Infineon Technologies AG
    Inventors: Ulrike Gruening-Von Schwerin, Wolfgang Gustin, Klaus-Dieter Morhard
  • Patent number: 7452822
    Abstract: A method for forming a dual damascene structure in a semiconductor device manufacturing process where via plugs which may include a thickness portion of a plug filling material overlying the process surface is formed by diffusing an acid into a plug filling material layer followed by reacting the acid with the plug filling material layer to form a soluble portion which is then removed using a solvent. A remaining portion of the plug filling material is cured and a BARC layer may be formed over the process surface prior to patterning trenches in an overlying resist layer and forming a dual damascene structure.
    Type: Grant
    Filed: February 13, 2006
    Date of Patent: November 18, 2008
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Jen-Chieh Shih, Bang-Ching Ho, Jian-Hong Chen
  • Publication number: 20080280450
    Abstract: The present invention is related to a method of two-step backside-etching. First, a substrate with a plurality of hard masks is provided. Next, the back and the edge of the substrate are backside-etched to remove parts of the hard masks on the back and the edge of the substrate. Then, the hard masks and the substrate are patterned in sequence to form a plurality of trenches in the substrate. Finally, before performing a wet bath step, the edge of the substrate is backside-etched to remove needle structures on the edge of the substrate.
    Type: Application
    Filed: July 10, 2007
    Publication date: November 13, 2008
    Inventor: Yeng-Peng Wang
  • Patent number: 7446045
    Abstract: In an independent GaN film manufactured by creating a GaN layer on a base heterosubstrate using vapor-phase deposition and then removing the base substrate, owing to layer-base discrepancy in thermal expansion coefficient and lattice constant, warp will be a large ±40 ?m to ±100 ?m. Since with that warp device fabrication by photolithography is challenging, reducing the warp to +30 ?m to ?20 ?m is the goal. The surface deflected concavely is ground to impart to it a damaged layer that has a stretching effect, making the surface become convex. The damaged layer on the surface having become convex is removed by etching, which curtails the warp. Alternatively, the convex surface on the side opposite the surface having become convex is ground to generate a damaged layer. With the concave surface having become convex due to the damaged layer, suitably etching off the damaged layer curtails the warp.
    Type: Grant
    Filed: March 29, 2007
    Date of Patent: November 4, 2008
    Assignee: Sumitomo Electric Industries, Ltd.
    Inventor: Naoki Matsumoto
  • Publication number: 20080261403
    Abstract: One embodiment of the present invention provides a process for obtaining high-quality boundaries for individual multilayer structures which are fabricated on a trench-partitioned substrate. During operation, the process receives a trench-partitioned substrate wherein the substrate surface is partitioned into arrays of isolated deposition platforms which are separated by arrays of trenches. The process then forms a multilayer structure, which comprises a first doped layer, an active layer, and a second doped layer, on one of the deposition platforms. Next, the process removes sidewalls of the multilayer structure.
    Type: Application
    Filed: July 12, 2007
    Publication date: October 23, 2008
    Applicant: LATTICE POWER (JIANGXI) CORPORATION
    Inventors: Li Wang, Fengyi Jiang
  • Patent number: 7431855
    Abstract: An apparatus and method for removing photoresist from a substrate, which includes treating the photoresist with a first reactant to cause swelling, cracking or delamination of the photoresist, treating the photoresist with a second reactant to chemically alter the photoresist, and subsequently removing the chemically altered photoresist with a third reactant. In one example, the first reactant is supercritical carbon dioxide (SCCO2), the second reactant is ozone vapor, and the third reactant is deionized water.
    Type: Grant
    Filed: November 14, 2003
    Date of Patent: October 7, 2008
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Donggyun Han, Woosung Han, Changki Hong, Sangjun Choi, Hyungho Ko, Hyosan Lee
  • Publication number: 20080237686
    Abstract: A semiconductor device includes: a control gate electrode having a first layer of polycrystalline silicon. The first layer is formed by decreasing a thickness of a first film of doped polycrystalline silicon. The first layer retains a dopant activation ratio of the first film. A method for manufacturing a semiconductor device, includes: forming a first film of doped polycrystalline silicon; and decreasing a thickness of the first film. The first film is formed by heat treating an amorphous silicon film provided on an insulating film.
    Type: Application
    Filed: September 21, 2007
    Publication date: October 2, 2008
    Applicant: KABUSHIKI KAISHA TOSHIBA
    Inventor: Hidehiko Yabuhara
  • Publication number: 20080242099
    Abstract: A method for forming a contact hole in a semiconductor device includes forming an insulation layer over a substrate, forming a hard mask pattern over the insulation layer, forming a first contact hole by partially etching the insulation layer, forming a spacer on sidewalls of the first contact hole, forming a second contact hole to expose the substrate by etching the remaining insulation layer within the first contact hole, forming a third contact hole by horizontally etching the second contact hole, wherein a line width of the third contact hole is wider than that of the first contact hole, and removing the hard mask pattern and the spacer.
    Type: Application
    Filed: December 10, 2007
    Publication date: October 2, 2008
    Inventor: Hong-Gu Yi
  • Publication number: 20080224238
    Abstract: An advanced method of patterning a gate stack including a high-k gate dielectric that is capped with a high-k gate dielectric capping layer such as, for example, a rare earth metal (or rare earth like)-containing layer is provided. In particular, the present invention provides a method in which a combination of wet and dry etching is used in patterning such gate stacks which substantially reduces the amount of remnant high-k gate dielectric capping material remaining on the surface of a semiconductor substrate to a value that is less than 1010 atoms/cm2, preferably less than about 109 atoms/cm2.
    Type: Application
    Filed: March 13, 2007
    Publication date: September 18, 2008
    Applicant: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Siva Kanakasabapathy, Ying Zhang, Edmund M. Sikorski, Hongwen Yan, Vijay Narayanan, Vamsi K. Paruchuri, Bruce B. Doris
  • Publication number: 20080220614
    Abstract: The invention is directed to a method for manufacturing an image sensor device. The method comprises steps of forming a photodiode and a transistor on a substrate. A salicide block is formed over a photo-sensing region of the photodiode. An interconnects processes is performed several times to forming a plurality of dielectric layers over the substrate and interconnects between the dielectric layers. A photolithography and etching process is performed to remove the dielectric layers over the photo-sensing region to expose the salicide block over the photo-sensing region.
    Type: Application
    Filed: May 13, 2008
    Publication date: September 11, 2008
    Applicant: UNITED MICROELECTRONICS CORP.
    Inventors: Cheng-Hsing Chuang, Tsuan-Lun Lung, Chih-Hung Cheng, Wei-Chen Sun
  • Patent number: 7422020
    Abstract: A porous dielectric layer is formed on a substrate. Aluminum is incorporated in the porous dielectric layer with a pattern process using an Aluminum gas precursor. The incorporated Aluminum improves the mechanical properties of the porous dielectric layer.
    Type: Grant
    Filed: June 30, 2006
    Date of Patent: September 9, 2008
    Assignee: Intel Corporation
    Inventors: Vijayakumar Ramachandrarao, Grant Kloster
  • Patent number: 7419914
    Abstract: A method for fabricating a semiconductor device with a borderless via/wiring structure includes the steps of performing borderless via etching using a resist mask to form a contact hole in an interlevel dielectric layer over a semiconductor substrate so as to expose two different metal materials of lower layer patterns in the contact hole; and performing plasma irradiation using an H2O-containing gas prior to a wet process when removing the resist mask.
    Type: Grant
    Filed: February 21, 2006
    Date of Patent: September 2, 2008
    Assignee: Fujitsu Limited
    Inventor: Naoki Nishida
  • Publication number: 20080179713
    Abstract: There is described a method for creating a thermally-isolated microstructure on a slab of mono-crystalline silicon which uses a hybrid dry-then-wet etch technique that when controlled, can produce microstructures without any silicon adhering underneath, microstructures having small masses of silicon adhering underneath, and microstructures that are still attached to the slab of mono-crystalline silicon via a waisted silicon body. When creating the microstructures with a waisted silicon body, the thermal isolation of the microstructure can be designed by controlling the depth of the etching and the size of the waist.
    Type: Application
    Filed: November 10, 2005
    Publication date: July 31, 2008
    Inventors: Leslie M. Landsberger, Oleg Grudin, Jens Urban, Uwe Schwarz
  • Patent number: 7405139
    Abstract: A method of preventing the formation of cracks on the backside of a silicon (Si) semiconductor chip or wafer during the processing thereof. Also provided is a method for inhibiting the propagation of cracks, which have already formed in the backside of a silicon chip during the processing thereof and prior to the joining thereto of a substrate during the fabrication of an electronic package. The methods entail either treating the backside with a wet etch, or alternatively, applying a protective film layer thereon prior to forming an electronic package incorporating the chip or wafer.
    Type: Grant
    Filed: August 3, 2006
    Date of Patent: July 29, 2008
    Assignee: International Business Machines Corporation
    Inventors: Timothy H. Daubenspeck, Jeffrey P. Gambino, Jerome B. Lasky, Christopher D. Muzzy, Wolfgang Sauter
  • Patent number: 7402467
    Abstract: A reduction in contaminating impurities in a TFT, and a TFT which is reliable, is obtained in a semiconductor device which uses the TFT. By removing contaminating impurities residing in a film interface of the TFT using a solution containing fluorine, a reliable TFT can be obtained.
    Type: Grant
    Filed: March 24, 2000
    Date of Patent: July 22, 2008
    Assignee: Semiconductor Energy Laboratory Co., Ltd.
    Inventors: Masaya Kadono, Shunpei Yamazaki, Yukio Yamauchi, Hidehito Kitakado
  • Patent number: 7402523
    Abstract: A method for etching an insulation film through a patterned mask, includes the steps of etching the insulation film until just before an underlayer is about to be exposed by applying a plasma, and modifying a quality of a remaining film of the insulation film by applying another plasma which is different from the plasma used in the above etching process. The method further includes the process of removing the modified remaining film of the insulation film with a liquid chemical. The process of removing the modified remaining film can be also achieved by a dry etching method not employing a plasma.
    Type: Grant
    Filed: March 31, 2006
    Date of Patent: July 22, 2008
    Assignee: Tokyo Electron Limited
    Inventors: Eiichi Nishimura, Takehiko Orii
  • Publication number: 20080166878
    Abstract: A method of fabricating silicon nanostructures includes preparing a silicon wafer as a substrate; forming an oxide layer hardmask directly on the silicon substrate; patterning and etching the oxide hardmask; wet etching the silicon wafer to remove oxide to reduce the size of the oxide hardmask and to form nanostructure elements; and dry etching, in one or more steps, the silicon wafer using the oxide hardmask to form a desired nanostructure having substantially parallel vertical sidewalls thereon.
    Type: Application
    Filed: January 8, 2007
    Publication date: July 10, 2008
    Inventors: Tingkai Li, Bruce D. Ulrich, Jer-Shen Maa, Sheng Teng Hsu
  • Patent number: 7396737
    Abstract: A method of manufacturing a semiconductor device including forming a pad oxide layer on a semiconductor substrate, forming a spacer oxide layer pattern on sidewalls of the pad oxide layer, and forming a nitride layer on the pad oxide layer. The method further includes forming a groove in the nitride layer by selectively removing the spacer oxide layer pattern, forming a trench in a region where the groove is formed, and filling the trench with a thermal oxide layer so as to form a shallow trench isolation (STI) layer. In the method, the line width of the STI layer depends on the thickness of the spacer oxide layer, and so the STI layer can be formed to a line width W smaller than a design rule.
    Type: Grant
    Filed: December 29, 2005
    Date of Patent: July 8, 2008
    Assignee: Dongbu Electronics Co., Ltd.
    Inventor: Jong-Woon Choi
  • Publication number: 20080160729
    Abstract: Resist masks exposed to high-dose implantation processes may be efficiently removed on the basis of a combination of a plasma-based etch process and a wet chemical etch recipe, wherein both etch steps may include a highly selective etch chemistry in order to minimize substrate material loss and thus dopant loss in sophisticated semiconductor devices. The first plasma-based etch step may provide under-etched areas of the resist mask, which may then be efficiently removed on the basis of the wet chemical etch process.
    Type: Application
    Filed: July 25, 2007
    Publication date: July 3, 2008
    Inventors: Christian Krueger, Volker Grimm, Lutz Eckart
  • Publication number: 20080153303
    Abstract: A III-V field effect transistor comprising a semiconductor channel layer having an electrically conducting channel; an ohmic contact layer on the semiconductor channel layer, the ohmic contact layer having a recess structure disposed therethrough to the semiconductor channel layer; the bottom of the ohmic contact layer comprising an etch stop layer comprising Aluminium and Phosphorous and defining the shape of the recess at its junction with the semiconductor channel layer.
    Type: Application
    Filed: March 7, 2008
    Publication date: June 26, 2008
    Applicant: FILTRONIC PLC
    Inventors: Matthew Francis O'Keefe, Michael Charles Clausen, Richard Alun Davies, Robert Grey
  • Publication number: 20080142886
    Abstract: The method of the present invention includes providing a semiconductor substrate with a recess; performing a pre-cleaning step on the semiconductor substrate; and performing a first reduction step, a lateral etching step and a second reduction step on the semiconductor substrate. The MOS structure includes a semiconductor substrate, a gate structure on the semiconductor substrate, a pair of recesses with beak sections extending to and under the gate structure, and a strain material filling the recess. The recess inside the semiconductor substrate processed by the method including the lateral etching step forms a beak section.
    Type: Application
    Filed: December 18, 2006
    Publication date: June 19, 2008
    Inventors: Chin-I Liao, Chin-Cheng Chien
  • Publication number: 20080138991
    Abstract: A multilayer insulating structure including a first stop layer, a first insulating layer and a second stop layer is formed on the first conductive structure. A second conductive structure and a second insulating layer are formed on the first conductive structure. The second insulating layer and the second conductive structure are etched to form a first hole and a second hole having a first radius. A spacer is formed on sidewalls of the first and second holes. The second stop layer and the first insulating layer are etched using the spacer as an etch mask to form a third hole having a second radius smaller than the first radius. A sacrificial filler is formed on the first stop layer to fill the third hole. After removing the spacer, the sacrificial filler is removed. The first stop layer is etched. A carbon nano-tube is grown from the first conductive structure.
    Type: Application
    Filed: October 31, 2007
    Publication date: June 12, 2008
    Applicant: SAMSUNG ELECTRONICS CO., LTD.
    Inventors: Hong Cho, Seung-Pil Chung, Hong-Sik Yoon, Kyung-Rae Byun
  • Patent number: 7384799
    Abstract: A method for forming a MEMS device using an amorphous silicon layer as a release layer includes etching superjacent films and using the amorphous silicon layer as an etch stop layer. The amorphous silicon layer is resistant to attack during the post-etch solvent stripping operation due to the oxidation of exposed portions of the amorphous silicon layer by use of an oxygen plasma.
    Type: Grant
    Filed: January 26, 2005
    Date of Patent: June 10, 2008
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Fei-Yun Chen, Ni-Hwi Kuan, Yuh-Hwa Chang, Yuan-Pang Lee, Yuan-Ko Hwang, Shuh-Shun Chen
  • Publication number: 20080132077
    Abstract: The objective of the present invention is to provide a manufacturing method of a fin field effect transistor easily and surely without a constriction on a bottom end portion of the fin, through a method that includes a process for removing damage caused by plasma etching by wet etching of a sacrificial oxide film using a SOI wafer. The method of the present invention includes a process for forming a projection in a fin shape by plasma etching a single crystal silicon layer on a SOI wafer, forming a sacrificial oxide film on the surface including anticipated damage to the projection, a process for removing the sacrificial oxide layer by wet etching, and a reflow process to reflow the buried oxide layer by heating.
    Type: Application
    Filed: December 3, 2007
    Publication date: June 5, 2008
    Applicant: TOKYO ELECTRON LIMITED
    Inventor: Masato Morishima
  • Publication number: 20080102640
    Abstract: A substrate comprising an oxide layer covering a nitride layer, is etched in a process zone of a substrate processing chamber. A process gas comprising H2 gas is introduced into the process zone, and the process gas is energized to etch through the oxide layer to at least partially expose the nitride layer. The energized process gas has a selectivity of etching the oxide layer to the nitride layer of at least about 25:1.
    Type: Application
    Filed: October 30, 2006
    Publication date: May 1, 2008
    Inventors: Sajjad Amin Hassan, Chentsau Ying
  • Publication number: 20080102641
    Abstract: A method of fabricating a grayscale reticle includes preparing a quartz substrate; depositing a layer of silicon-rich oxide on the quartz substrate; depositing a layer of silicon nitride as an oxidation barrier layer on the silicon-rich oxide layer; depositing and patterning a layer of photoresist; etching the silicon nitride layer with a pattern for the silicon nitride layer; removing the photoresist; cleaning the quartz substrate and the remaining layers; oxidizing the quartz substrate and the layers thereon, thereby converting the silicon-rich oxide layer to a transparent silicon dioxide layer; removing the remaining silicon nitride layer; forming the quartz substrate and the silicon dioxide thereon into a reticle; and using the reticle to pattern a microlens array.
    Type: Application
    Filed: October 27, 2006
    Publication date: May 1, 2008
    Inventors: Yoshi Ono, Bruce D. Ulrich, Pooran Chandra Joshi
  • Patent number: 7365021
    Abstract: Methods are provided for fabricating a semiconductor device that include the steps of: sequentially forming a metal interconnection and a protecting layer on a semiconductor substrate; forming a contact hole on the protecting layer; isolating the contact hole by forming a molding layer and an etching stop layer stacked thereon; forming a sacrificial layer on the etching stop layer so as to fill the contact hole; forming a photoresist layer with an opening so as to expose the sacrificial layer and such that the opening of the photoresist layer aligns with the contact hole; forming a trench in the molding layer to penetrate the sacrificial layer and the etching stop layer; and performing a wet etching on the semiconductor substrate having the trench to remove the photoresist layer and the sacrificial layer, wherein the wet etching step is performed using an organic compound and fluoride ion-based buffered solution.
    Type: Grant
    Filed: May 5, 2005
    Date of Patent: April 29, 2008
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Mi-Young Kim, Sang-Cheol Han, Tai-Hyoung Kim, Jeong-Wook Hwang, Hong-Seong Son
  • Publication number: 20080096332
    Abstract: A gate insulating layer, an active layer and a data metal film are sequentially formed on a substrate. A first photoresist pattern having a relatively small thickness in a channel forming area with respect to a thickness of the photoresist pattern not in the channel forming area is formed on the data metal film. The data metal film and the active layer are sequentially etched using the first photoresist pattern. The active layer is etched using the first photoresist pattern. The first photoresist pattern is dry etched using a gas mixture including a sulfur hexafluoride gas and an oxygen gas to form a second photoresist pattern with an opening formed in the channel forming area. The data metal film is then etched using the second photoresist pattern. Dry, wet or acid cleaning procedures used within the manufacturing method reduce formation of stringers in the substrate.
    Type: Application
    Filed: May 9, 2007
    Publication date: April 24, 2008
    Applicant: SAMSUNG ELECTRONICS CO., LTD
    Inventors: Duck-Jung LEE, Kyung-Seop KIM, Yong-Eui LEE, Myung-Il PARK, Dong-Chin LEE
  • Patent number: 7358102
    Abstract: A Method of forming microelectromechanical optical display devices is provided. A sacrificial layer is formed above a substrate. A plurality of posts penetrating the sacrificial layer is formed. A reflective layer and a flexible layer are sequentially formed above the sacrificial layer and the posts. A photoresist layer is formed on part of the flexible layer. By performing wet etching using the photoresist layer as a mask, a portion of the flexible layer is removed to form a patterned flexible layer. The wet etching is stopped on the reflective layer. The photoresist layer is removed. By performing dry etching using the patterned flexible layer as a mask, a portion of the reflective layer is removed to form a patterned reflective layer. A mechanical layer is formed with the patterned flexible and reflective layers. The sacrificial layer is removed to release the mechanical layer.
    Type: Grant
    Filed: March 30, 2005
    Date of Patent: April 15, 2008
    Assignee: AU Optronics Corp.
    Inventors: Chia-Sheng Lee, Han-Tu Lin, Jia-Fam Wong
  • Publication number: 20080085603
    Abstract: A method, in one embodiment, includes etching first and second dielectric regions in a substantially isotropic manner through first and second openings of a mask layer to create first and second trenches. The first and second dielectric regions are disposed on opposite sides of a mesa of semiconductor material, the mesa having first and second sidewalls that respectively adjoin the first and second dielectric regions. The first and second dielectric regions in the first and second trenches are then etched in a substantially isotropic manner to expose the first and second sidewalls. A gate oxide is formed on the first and second sidewalls of the mesa. It is emphasized that this abstract is provided to comply with the rules requiring an abstract that will allow a searcher or other reader to quickly ascertain the subject matter of the technical disclosure.
    Type: Application
    Filed: October 3, 2006
    Publication date: April 10, 2008
    Applicant: Power Integrations, Inc.
    Inventor: Donald Ray Disney
  • Publication number: 20080081481
    Abstract: By providing a silicon cap layer on a compressive silicon nitride layer, the diffusion of nitrogen into sensitive resist material may be efficiently reduced, while the silicon may be converted into a highly compressive silicon dioxide in a later manufacturing stage. Consequently, yield loss due to contact failures during the formation of semiconductor devices requiring differently stressed silicon nitride layers may be reduced.
    Type: Application
    Filed: May 2, 2007
    Publication date: April 3, 2008
    Inventors: Kai Frohberg, Ralf Richter, Thomas Werner
  • Patent number: 7351354
    Abstract: A removing solution for removing tungsten metal which causes a film formation on a semiconductor substrate or adheres to it, wherein orthoperiodic acid and water are contained.
    Type: Grant
    Filed: December 1, 2004
    Date of Patent: April 1, 2008
    Assignee: Kanto Kagaku Kabushiki Kaisha
    Inventors: Toshikazu Shimizu, Kaori Watanabe, Hidemitsu Aoki
  • Patent number: 7351667
    Abstract: An etching solution for silicon oxide may be used in a process for enlarging an opening formed through a silicon oxide layer. The etching solution includes about 0.2 to about 5.0 percent by weight of a hydrogen fluoride solution, about 0.05 to about 20.0 percent by weight of an ammonium fluoride solution, about 40.0 to about 70.0 percent by weight of an alkyl hydroxide solution and remaining water. The etching solution may etch the silicon oxide layer without damage to a metal silicide layer exposed by the opening.
    Type: Grant
    Filed: October 16, 2006
    Date of Patent: April 1, 2008
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Dong-Won Hwang, Hun-Jung Yi, Kwang-Shin Lim, Jung-Dae Park
  • Publication number: 20080076258
    Abstract: A method for fabricating an interconnect structure in a semiconductor device. A masking layer is formed on a dielectric layer formed on a substrate, having at least one opening. The opening is transferred into the dielectric layer. A Plasma stripping process is performed to remove the masking layer, such that a damaged sidewall portion of the dielectric layer surrounding the opening therein is formed. The opening in the dielectric layer is filled with a conductive element. The damaged sidewall portion of the dielectric layer is removed to form a gap between the dielectric layer and the conductive element, wherein substances from removal of the damaged sidewall portion of the dielectric layer are formed on the conductive element. The substances are removed using a citric acid solution.
    Type: Application
    Filed: September 21, 2006
    Publication date: March 27, 2008
    Applicant: TAIWAN SEMICONDUCTOR MANUFACTURING CO., LTD.
    Inventors: Hsien-Wei Chen, Hsueh-Chung Chen, Shin-Puu Jeng
  • Patent number: 7338610
    Abstract: A wafer having a dielectric layer and an electrode partially protruding from the top surface of the dielectric layer is provided. The dielectric layer is etched with a chemical solution such as LAL. Prior to etching, the protruding portion of the electrode is removed or reduced to prevent any bubbles included in the chemical solution from adhering to the electrode. Thus, the chemical solution can etch the dielectric layers without being blocked by any bubbles included in a chemical solution.
    Type: Grant
    Filed: January 23, 2004
    Date of Patent: March 4, 2008
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Won-Jun Lee, Byoung-Moon Yoon, In-Seak Hwang, Yong-Sun Ko
  • Patent number: 7332449
    Abstract: A method for forming a damascene structure by providing a single process solution for resist ashing while avoiding and repairing plasma etching damage as well as removing absorbed moisture in the dielectric layer, the method including providing a substrate comprising an uppermost photoresist layer and an opening extending through a thickness of an inter-metal dielectric (IMD) layer to expose an underlying metal region; and, carrying out at least one supercritical fluid treatment comprising supercritical CO2, a first co-solvent, and an additive selected from the group consisting of a metal corrosion inhibitor and a metal anti-oxidation agent to remove the uppermost photoresist layer, as well as including an optional dielectric insulating layer bond forming agent.
    Type: Grant
    Filed: September 30, 2005
    Date of Patent: February 19, 2008
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Ching-Ya Wang, Joshua Tseng, Henry Lo, Jean Wang
  • Patent number: 7332440
    Abstract: A wet etching apparatus and method to shorten processing time and to eliminate formation of unintended mask pattern are described. In the conventional art, after a mask pattern is formed, alien substances such as water mist or stain are left on the substrate. The alien substances act as an etching block in the wet etching process. This generates an unintended mask pattern. The present invention uses ultraviolet light to remove the alien substances prior to the etching process. When the alien substances are removed, the intended mask pattern is generated after the etching process. The wet etching device according to the present invention includes an ultraviolet cleaner and a conveyor to convey substrates to and from the ultraviolet cleaner. Spaces for the ultraviolet cleaner and the conveyor are created in the wet etching apparatus by reducing space for cassettes and reducing space required by the loader.
    Type: Grant
    Filed: June 24, 2004
    Date of Patent: February 19, 2008
    Assignee: LG.Philips LCD Co., Ltd.
    Inventors: Soon Ho Choi, Jae Hyeob Seo
  • Publication number: 20080038924
    Abstract: A highly selective metal wet etchant with an active ingredient comprising one or more types of molecules having two or more oxygen atoms is described. In one embodiment, the wet etchant is utilized to pattern a metal layer in a semiconductor structure. In another embodiment, a highly selective metal wet etchant with an active ingredient comprising one or more types of molecules having two or more oxygen atoms is used to pattern a metal gate electrode in a replacement gate processing scheme.
    Type: Application
    Filed: August 8, 2006
    Publication date: February 14, 2008
    Inventors: Willy Rachmady, Jack T. Kavalieros, Mark Y. Liu, Mark L. Doczy
  • Patent number: 7326651
    Abstract: This invention relates to the manufacture of dual damascene interconnect structures in integrated circuit devices. Specifically, a method is disclosed for forming a single or dual damascene structure in a low-k dielectric thin film utilizing a planarizing material and a compressive diffusion barrier material. The barrier material preferably has a compressive stress of greater than 300 MPa. In a preferred dual damascene embodiment of this method, the vias are formed first in the dielectric material, then the planarizing material is deposited in the vias and on the dielectric material, and the barrier material is deposited on the planarizing material. The trenches are then formed lithographically in the imaging material, etched through the barrier material into the planarizing material, and the trench pattern is transferred to the dielectric material. During and following the course of these etch steps, the imaging, barrier and planarizing materials are removed.
    Type: Grant
    Filed: December 14, 2004
    Date of Patent: February 5, 2008
    Assignee: International Business Machines Corporation
    Inventors: Heidi Baks, Richard A. Bruff, Richard A. Conti, Allan Upham
  • Patent number: 7316961
    Abstract: Provided is a method of manufacturing a semiconductor device with enhancements of electrical characteristics. The method includes sequentially forming a lower electrode and an insulating layer on a semiconductor substrate, dry-etching a region of the insulating layer corresponding to a capacitor forming region so that the lower electrode is not exposed, forming an inter-insulating layer by wet-etching the insulating layer so that a region of the lower electrode corresponding to the capacitor forming region is exposed, and sequentially forming a dielectric layer and an upper electrode on the capacitor forming region to fabricate a capacitor.
    Type: Grant
    Filed: November 14, 2005
    Date of Patent: January 8, 2008
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Seok-jun Won, Weon-hong Kim, Yong-kuk Jeong
  • Publication number: 20080003831
    Abstract: A method for forming a metal pattern in a semiconductor device includes preparing a semi-finished substrate with a metal layer for use as a metal pattern, performing a cleaning process inducing oxidation over an upper surface of the metal layer to form an anti-scattering reflection layer over the upper surface of the metal layer, forming a photoresist pattern over the anti-scattering reflection layer, and etching the anti-scattering reflection layer and the metal layer exposed by the photoresist pattern to form the metal pattern.
    Type: Application
    Filed: December 29, 2006
    Publication date: January 3, 2008
    Inventors: Ki-Hong Yang, Gyu-An Jin