Forming Tapered Profile (e.g., Tapered Etching, Etc.) Patents (Class 438/713)
  • Patent number: 8236698
    Abstract: The invention relates to a method for forming microcavities (118) of different depths in a layer (102) based on at least an amorphous or monocrystalline material, comprising at least the following steps in which: at least one shaft and/or trench is formed in the layer (102) so as to extend through one face (101) thereof, such that two sections of the shaft and/or the trench, in two different planes parallel to the face (101), are aligned in relation to one another along an alignment axis forming a non-zero angle with a normal to the plane of said face (101); and the layer (102) is annealed in a hydrogenated atmosphere so as to transform the shaft and/or trench into at least two microcavities (118).
    Type: Grant
    Filed: December 20, 2007
    Date of Patent: August 7, 2012
    Assignee: Commissariat a l'Energie Atomique
    Inventors: Jean-Charles Barbe, Erwan Dornel, François De Crecy, Joël Eymery
  • Patent number: 8216481
    Abstract: A method for manufacturing a magnetoresistive read sensor that allows the sensor to be constructed with clean well defined side junctions, even at very narrow track widths. The method involves using first and second etch mask layers, that are constructed of materials such that the second mask (formed over the first mask) can act as a mask during the patterning of the first mask (bottom mask). The first mask has a well defined thickness that is defined by deposition and which is not affected by the etching processes used to define the mask. This allows the total ion milling etch mask thickness to be well controlled before the ion milling process used to define the sensor side walls.
    Type: Grant
    Filed: October 16, 2009
    Date of Patent: July 10, 2012
    Assignee: Hitachi Global Storage Technologies Netherlands B.V.
    Inventor: Liubo Hong
  • Patent number: 8211805
    Abstract: The invention provides a method for forming a via. A first dielectric layer is formed on a substrate. A conductive structure is formed in the first dielectric layer. A second dielectric layer is formed on the first dielectric layer and conductive structure. A first etching step is performed by using a first etching mixture to form a first via in the second dielectric layer. A second etching step is performed by using a second etching mixture to form a second via under the first via. The second via exposes at least a top surface of the conductive structure. An etching rate of the second etching step is slower than the first etching step.
    Type: Grant
    Filed: February 13, 2009
    Date of Patent: July 3, 2012
    Assignee: Vanguard International Semiconductor Corporation
    Inventors: Wen-Shun Lo, Hsing-Chao Liu
  • Patent number: 8207532
    Abstract: A new method is provided for the creation of a hole through a layer of insulating material. The method provides for combining a feed-forward method with a feed backward method and a high-polymer based hole profile, in order to establish a hole of a constant Critical Dimension for the hole bottom, making the CD of the hole bottom independent of the CD of the opening created through the overlying developed layer of photoresist and independent of the thickness of the layer of insulator material after CMP has been applied to the surface of the insulation layer.
    Type: Grant
    Filed: September 12, 2003
    Date of Patent: June 26, 2012
    Assignee: Taiwan Semiconductor Manufacturing Company
    Inventors: Chi-An Kao, Yung-Chang Chang, Yu-Ping Chang, Ling-Sung Wang
  • Patent number: 8207026
    Abstract: To provide a method for manufacturing a thin film transistor and a display device using a small number of masks, a thin film transistor is manufactured in such a manner that a first conductive film, an insulating film, a semiconductor film, an impurity semiconductor film, and a second conductive film are stacked; then, a resist mask is formed thereover; first etching is performed to form a thin-film stack body; second etching in which the first conductive film is side-etched is performed by dry-etching to form a gate electrode layer; and a source electrode, a drain electrode, and the like are formed. Before the dry etching, it is preferred that at least a side surface of the etched semiconductor film be oxidized.
    Type: Grant
    Filed: January 25, 2010
    Date of Patent: June 26, 2012
    Assignee: Semiconductor Energy Laboratory Co., Ltd.
    Inventors: Hideomi Suzawa, Takafumi Mizoguchi, Koji Dairiki, Mayumi Mikami, Yumiko Saito
  • Patent number: 8168531
    Abstract: A semiconductor device and method of fabricating the same, which forms a contact hole, a via hole or a via contact hole with multiple profiles with various taper angles. The semiconductor device includes a substrate, a thin film transistor formed on the substrate and having a semiconductor layer, a gate insulating layer, a gate electrode, and an interlayer dielectric, and a contact hole penetrating the gate insulating layer and the interlayer dielectric and exposing a portion of the semiconductor layer. The contact hole has a multiple profile in which an upper portion of the contact hole has a wet etch profile and a lower portion of the contact hole has at least one of the wet etch profile and a dry etch profile.
    Type: Grant
    Filed: May 1, 2009
    Date of Patent: May 1, 2012
    Assignee: Samsung Mobile Display Co., Ltd.
    Inventors: Tae-Wook Kang, Chang-Yong Jeong, Chang-Soo Kim, Chang-Su Seo, Moon-Hee Park
  • Publication number: 20120083129
    Abstract: Apparatus and methods for plasma etching are disclosed. In one embodiment, a method for etching a plurality of features on a wafer includes positioning the wafer within a chamber of a plasma etcher, generating plasma ions using a radio frequency power source and a plasma source gas, directing the plasma ions toward the wafer using an electric field, and focusing the plasma ions using a plasma focusing ring. The plasma focusing ring is configured to increase a flux of plasma ions arriving at a surface of the wafer to control the formation of the plurality of features and structures associated therewith.
    Type: Application
    Filed: October 5, 2010
    Publication date: April 5, 2012
    Applicant: Skyworks Solutions, Inc.
    Inventors: Daniel K. Berkoh, Elena B. Woodard, Dean G. Scott
  • Patent number: 8119534
    Abstract: A substrate has at least one recess and/or protrusion formed in and/or on a surface thereof so as to scatter or diffract light generated in an active layer. The recess and/or protrusion is formed in such a shape that can reduce crystalline defects in semiconductor layers.
    Type: Grant
    Filed: March 3, 2010
    Date of Patent: February 21, 2012
    Assignee: Nichia Corporation
    Inventors: Hisanori Tanaka, Yasunobu Hosokawa, Yuuki Shibutani
  • Patent number: 8066892
    Abstract: A method for manufacturing a write pole for a perpendicular magnetic write head. The method employs a damascene process to construct the write pole with a very accurately controlled track width. The method includes depositing a layer of material that can be readily removed by reactive ion etching. This material can be referred to as a RIEable material. A mask is formed over the RIEable material and a reactive ion etching is performed to form a tapered trench in the RIEAble material. A CMP stop layer can the be deposited, and a write pole plated into the trench. A CMP can then be performed to define the trailing edge of the write pole. Another masking, etching and plating step can be performed to form a trailing, wrap-around magnetic shield.
    Type: Grant
    Filed: September 30, 2008
    Date of Patent: November 29, 2011
    Assignee: Hitachi Global Storage Technologies Netherlands B.V.
    Inventors: Hung-Chin Guthrie, Yimin Hsu, Ming Jiang, Sue Siyang Zhang
  • Patent number: 8058181
    Abstract: The current invention provides methods for performing a cleaning process that provides greater cleaning efficiency with less damage to device structures. After etching and photoresist stripping, a first plasma clean is performed. The first plasma clean may comprise one or more steps. Following the first plasma clean, a first HO based clean is performed. The first HO based clean may be a de-ionized water rinse, a water vapor clean, or a plasma clean, where the plasma includes hydrogen and oxygen. Following the first HO based clean, a second plasma clean is performed, which may comprise one or more steps. A second HO based clean follows the second plasma clean, and may be a de-ionized water rinse, a water vapor clean, or a plasma clean, where the plasma includes hydrogen and oxygen. For plasma processes, an RF, generated plasma, a microwave generated plasma, an inductively coupled plasma, or combination may be used.
    Type: Grant
    Filed: July 13, 2009
    Date of Patent: November 15, 2011
    Assignee: Novellus Systems, Inc.
    Inventors: David L. Chen, Yuh-Jia Su, Eddie Ka Ho Chiu, Maria Paola Pozzoli, Senzi Li, Giuseppe Colangelo, Simone Alba, Simona Petroni
  • Publication number: 20110275219
    Abstract: A method of bevel edge processing a semiconductor in a bevel plasma processing chamber in which the semiconductor substrate is supported on a semiconductor substrate support is provided. The method comprises evacuating the bevel etcher to a pressure of 3 to 100 Torr and maintaining RF voltage under a threshold value; flowing a process gas into the bevel plasma processing chamber; energizing the process gas into a plasma at a periphery of the semiconductor substrate; and bevel processing the semiconductor substrate with the plasma.
    Type: Application
    Filed: May 11, 2011
    Publication date: November 10, 2011
    Applicant: LAM RESEARCH CORPORATION
    Inventors: Tong Fang, Yunsang S. Kim, Andreas Fischer
  • Patent number: 8034721
    Abstract: A first film and a second film are formed on a semiconductor substrate in this order. A resist pattern is formed on the second film. An opening is formed by removing the second film exposed between the resist pattern at a state where the second film remains on the bottom. A first removal preventing film is formed on the side wall of the opening and the residual film is removed at a state where the projecting part of the second film protruding from the side wall to the opening remains. The first film exposed in the opening is removed. A second removal preventing film is formed on the first removal preventing film and the surface of the semiconductor substrate exposed in the opening is removed at a state where the projecting part of the semiconductor substrate protruding from the side wall to the opening remains and a round part is formed at the projecting part of the semiconductor substrate. The semiconductor substrate exposed in the opening is further removed.
    Type: Grant
    Filed: March 4, 2010
    Date of Patent: October 11, 2011
    Assignee: Panasonic Corporation
    Inventors: Masaru Yamada, Akihiko Tsudumitani
  • Publication number: 20110201197
    Abstract: The present invention provides a method of forming a via hole (9), or a via (7), from a lower side (5) of a substrate (3) for electronic devices towards an upper side (4) of a substrate (3) at least partly through the substrate (3). The method comprises the steps of: etching a first lengthwise portion (1 1) of the via hole (9) and etching a second lengthwise portion (12) of the via hole (9); whereby the first lengthwise portion (11) and the second lengthwise portion (12) substantially form the via hole (9) and a constriction (23) is formed in the via hole (9). The constriction (23) defines an aperture (24) of the via hole (9) and the method further comprises the step of opening the via hole (9) by etching, with the constriction (23) functioning as an etch mask. A via is formed by at least partly filling the via hole with conductive material. A substrate for electronic devices comprising a via is also provided.
    Type: Application
    Filed: October 15, 2009
    Publication date: August 18, 2011
    Inventors: Peter Nilsson, Jürgen Leib, Robert Thorslund
  • Patent number: 7998876
    Abstract: A method of producing a semiconductor element includes the steps of forming a wiring portion layer on a substrate; forming an interlayer insulation layer over the substrate and the wiring portion layer, in which a third insulation film, a second insulation film, and a first insulation film are laminated in this order from the substrate; forming a mask pattern on the first insulation film; removing a contact hole forming area of the first insulation film through a wet etching process; removing a contact hole forming area of the second insulation film through an etching process; removing a contact hole forming area of the third insulation film through an etching process; and a contact hole forming step of forming a contact hole in the interlayer insulation layer so that a surface of the wiring portion layer is exposed.
    Type: Grant
    Filed: March 11, 2010
    Date of Patent: August 16, 2011
    Assignee: Oki Semiconductor Co., Ltd.
    Inventor: Toshiyuki Orita
  • Patent number: 7989351
    Abstract: A wiring over a substrate capable of reducing particles between wirings and a method for manufacturing the wiring is disclosed. A wiring over a substrate capable of preventing short-circuiting between wirings due to big difference in projection and depression between wirings and a method for manufacturing the wiring is also disclosed. Further, a wiring over a substrate capable of preventing cracks in the insulating layer due to stress at the edge of a wiring or particles and a method for manufacturing the wiring is also disclosed.
    Type: Grant
    Filed: April 28, 2009
    Date of Patent: August 2, 2011
    Assignee: Semiconductor Energy Laboratory Co., Ltd.
    Inventors: Shinya Sasagawa, Satoru Okamoto, Shigeharu Monoe
  • Patent number: 7951677
    Abstract: In a replacement gate approach, a top area of a gate opening has a superior cross-sectional shape which is accomplished on the basis of a plasma assisted etch process or an ion sputter process. During the process, a sacrificial fill material protects sensitive materials, such as a high-k dielectric material and a corresponding cap material. Consequently, the subsequent deposition of a work function adjusting material layer may not result in a surface topography which may result in a non-reliable filling-in of the electrode metal. In some illustrative embodiments, the sacrificial fill material may also be used as a deposition mask for avoiding the deposition of the work function adjusting metal in certain gate openings in which a different type of work function adjusting species is required.
    Type: Grant
    Filed: September 30, 2010
    Date of Patent: May 31, 2011
    Assignee: Globalfoundries Inc.
    Inventors: Jens Heinrich, Thomas Werner, Frank Seliger, Frank Richter
  • Patent number: 7928013
    Abstract: A rework method of a gate insulating layer of a thin film transistor includes the following steps. First, a substrate including a silicon nitride layer, which serves as a gate insulating layer, disposed thereon. Subsequently, a first film removal process is performed to remove the silicon nitride layer. The first film removal process includes an inductively coupled plasma (ICP) etching process. The ICP etching process is carried out by introducing gases including sulfur hexafluoride and oxygen. The ICP etching process has an etching selectivity ratio of the silicon nitride layer to the substrate, which is substantially between 18 and 30.
    Type: Grant
    Filed: December 1, 2009
    Date of Patent: April 19, 2011
    Assignee: AU Optronics Corp.
    Inventors: Chia-Hsu Chang, Pei-Yu Chen
  • Patent number: 7888193
    Abstract: A semiconductor device has: a semiconductor substrate having a pair of current input/output regions via which current flows; an insulating film formed on the semiconductor substrate and having a gate electrode opening; and a mushroom gate electrode structure formed on the semiconductor substrate via the gate electrode opening, the mushroom gate electrode structure having a stem and a head formed on the stem, the stem having a limited size on the semiconductor substrate along a current direction and having a forward taper shape upwardly and monotonically increasing the size along the current direction, the head having a size expanded stepwise along the current direction, and the stem contacting the semiconductor substrate in the gate electrode opening and riding the insulating film near at a position of at least one of opposite ends of the stem along the current direction.
    Type: Grant
    Filed: March 18, 2010
    Date of Patent: February 15, 2011
    Assignees: Fujitsu Limited, Fujitsu Quantum Devices Limited
    Inventors: Kozo Makiyama, Naoya Ikechi, Takahiro Tan
  • Patent number: 7786019
    Abstract: Methods for etching quartz are provided herein. In one embodiment, a method of etching quartz includes providing a film stack on a substrate support disposed in a processing chamber, the film stack having a quartz layer partially exposed through a patterned layer; and etching the quartz layer of the film stack in a multi-step process including a first step of etching the quartz layer utilizing a first process gas comprising at least one fluorocarbon process gas and a chlorine-containing process gas; and a second step of etching the quartz layer utilizing a second process gas comprising at least one fluorocarbon process gas.
    Type: Grant
    Filed: December 18, 2006
    Date of Patent: August 31, 2010
    Assignee: Applied Materials, Inc.
    Inventors: Renee Koch, Scott A. Anderson
  • Patent number: 7772103
    Abstract: In a method of forming a wire structure, first active regions and second active regions are formed on a substrate. Each of the first active regions has a first sidewall of a positive slope and a second sidewall opposed to the first sidewall. The second active regions are arranged along a first direction. An isolation layer is between the first active regions and the second active regions. A first mask is formed on the first active regions, the second active regions and the isolation layer. The first mask has an opening exposing the first sidewall and extending along the first direction. The first active regions, the second active regions and the isolation layer are etched using the first mask to form a groove extending along the first direction and to form a fence having a height substantially higher than a bottom face of the groove. A wire is formed to fill the groove. A contact is formed on the wire. The contact is disposed toward the second active regions from the fence.
    Type: Grant
    Filed: June 26, 2008
    Date of Patent: August 10, 2010
    Assignee: Samsung Electronics Co. Ltd
    Inventors: Ho-Jun Yi, Yong-Il Kim, Bong-Soo Kim, Dae-Young Jang, Woo-Jeong Cho
  • Patent number: 7749911
    Abstract: A T-shaped gate structure and method for forming the same the method including providing a semiconductor substrate comprising at least one overlying sacrificial layer; lithographically patterning a resist layer overlying the at least one sacrificial layer for etching an opening; forming the etched opening through a thickness of the at least one sacrificial layer to expose the semiconductor substrate, said etched opening comprising a tapered cross section having a wider upper portion compared to a bottom portion; and, backfilling the etched opening with a gate electrode material to form a gate structure.
    Type: Grant
    Filed: November 30, 2004
    Date of Patent: July 6, 2010
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Chung-Cheng Wu, Wen-Ting Chu
  • Patent number: 7741226
    Abstract: A method of optimally filling a through via within a through wafer via structure with a conductive metal such as, for example, W is provided. The inventive method includes providing a structure including a substrate having at least one aperture at least partially formed through the substrate. The at least one aperture of the structure has an aspect ratio of at least 20:1 or greater. Next, a refractory metal-containing liner such as, for example, Ti/TiN, is formed on bare sidewalls of the substrate within the at least one aperture. A conductive metal seed layer is then formed on the refractory metal-containing liner. In the invention, the conductive metal seed layer formed is enriched with silicon and has a grain size of about 5 nm or less. Next, a conductive metal nucleation layer is formed on the conductive metal seed layer. The conductive metal nucleation layer is also enriched with silicon and has a grain size of about 20 nm or greater.
    Type: Grant
    Filed: May 6, 2008
    Date of Patent: June 22, 2010
    Assignee: International Business Machines Corporation
    Inventors: Paul S. Andry, Edward C. Cooney, III, Peter J. Lindgren, Dorreen J. Ossenkop, Cornelia K. Tsang
  • Patent number: 7736956
    Abstract: Embodiments of the invention provide a device with a metal gate, a high-k gate dielectric layer, source/drain extensions a distance beneath the metal gate, and lateral undercuts in the sides of the metal gate.
    Type: Grant
    Filed: March 26, 2008
    Date of Patent: June 15, 2010
    Assignee: Intel Corporation
    Inventors: Suman Datta, Justin K. Brask, Jack Kavalieros, Brian S. Doyle, Gilbert Dewey, Mark L. Doczy, Robert S. Chau
  • Patent number: 7727898
    Abstract: A semiconductor device having reliable electrode contacts. First, an interlayer dielectric film is formed from a resinous material. Then, window holes are formed. The interlayer dielectric film is recessed by oxygen plasma. This gives rise to tapering window holes. This makes it easy to make contacts even if the circuit pattern is complex.
    Type: Grant
    Filed: December 2, 2005
    Date of Patent: June 1, 2010
    Assignee: Semiconductor Energy Laboratory Co., Ltd
    Inventors: Shunpei Yamazaki, Takeshi Fukunaga
  • Patent number: 7700495
    Abstract: The present invention relates to a thin film transistor device formed on an insulating substrate of a liquid crystal display device and others, a method of manufacturing the same, and a liquid crystal display device. In structure, there are provided the steps of forming a negative photoresist film on a first insulating film for covering a first island-like semiconductor film, forming a resist mask that has an opening portion in an inner region with respect to a periphery of the first island-like semiconductor film by exposing/developing the negative photoresist film from a back surface side of a transparent substrate, etching the first insulating film in the opening portion of the resist mask, forming a second insulating film for covering the first insulating film and a conductive film thereon, and forming a first gate electrode and a second gate electrode by patterning the conductive film.
    Type: Grant
    Filed: February 11, 2008
    Date of Patent: April 20, 2010
    Assignee: Sharp Kabushiki Kaisha
    Inventors: Seiji Doi, Kazushige Hotta, Takuya Hirano, Kenichi Yanai
  • Patent number: 7700444
    Abstract: Misalignment created during a multiple-patterning process is a serious challenge for critical dimension (CD) control and layout design in continuing integrated-circuit device scaling. A number of post-lithography misalignment correction technologies based on the shadow effect are invented for multi-patterning lithographic applications. When applied to transfer patterns from a top layer to an underneath layer, the subtractive shadow effect in anisotropic plasma etching combined with a hard-mask process, will shift the position of features such that the previously produced misalignment can be corrected. Also, additive shadow effect in a sputtering/evaporation process can be used. Misalignment correction methods allow the semiconductor industry to print sub-32 nm (half-pitch) features using the double-patterning technique with currently existing lithographic tools (e.g., 193-nm DUV scanner), therefore postponing the need of expensive next-generation lithography (NGL).
    Type: Grant
    Filed: October 26, 2006
    Date of Patent: April 20, 2010
    Inventor: Yijian Chen
  • Patent number: 7696099
    Abstract: A first film and a second film are formed on a semiconductor substrate in this order. A resist pattern is formed on the second film. An opening is formed by removing the second film exposed between the resist pattern at a state where the second film remains on the bottom. A first removal preventing film is formed on the side wall of the opening and the residual film is removed at a state where the projecting part of the second film protruding from the sidewall to the opening remains. The first film exposed in the opening is removed. A second removal preventing film is formed on the first removal preventing film and the surface of the semiconductor substrate exposed in the opening is removed at a state where the projecting part of the semiconductor substrate protruding from the side wall to the opening remains and a round part is formed at the projecting part of the semiconductor substrate. The semiconductor substrate exposed in the opening is further removed.
    Type: Grant
    Filed: November 16, 2006
    Date of Patent: April 13, 2010
    Assignee: Panasonic Corporation
    Inventors: Masaru Yamada, Akihiko Tsudumitani
  • Patent number: 7682981
    Abstract: The present invention is a method of applying a topographical surface to a part such as a substrate without the need for low temperature softening of that part while retaining high aspect ratios and densely packed features in that topography. A substrate, selected for its ability to be processed at a given desired temperature, has a layer of material applied to its surface. This layer is selected, among other reasons, for its ability to be molded. Typically, it is expected that the substrate will be able to withstand the higher temperatures of semiconductor post-processing whereas the applied layer will be moldable at low temperatures. This combination enables low cost embossing of a topography into this surface layer. The present invention comprises means to transfer this topography from the low temperature material into the higher temperature substrate.
    Type: Grant
    Filed: January 27, 2006
    Date of Patent: March 23, 2010
    Assignee: Contour Semiconductor, Inc.
    Inventor: Daniel Robert Shepard
  • Patent number: 7682991
    Abstract: A method of manufacturing a silicon carbide semiconductor device includes forming a trench for a MOS gate in an SiC substrate by dry etching. Thereafter, the substrate with the trench is heat treated. The heat treatment includes heating the substrate in an Ar gas atmosphere or in a mixed gas atmosphere containing SiH4 and Ar at a temperature between 1600° C. and 1800° C., and thereafter in a hydrogen gas atmosphere at a temperature between 1400° C. and 1500° C. The present manufacturing method smoothens the trench inner surface and rounds the corners in the trench to prevent the electric field from localizing thereto.
    Type: Grant
    Filed: December 19, 2007
    Date of Patent: March 23, 2010
    Assignee: Fuji Electric Device Technology Co., Ltd.
    Inventors: Yasuyuki Kawada, Takeshi Tawara, Tae Tawara
  • Patent number: 7682980
    Abstract: A method for etching a polysilicon gate structure in a plasma etch chamber is provided. The method initiates with defining a pattern protecting a polysilicon film to be etched. Then, a plasma is generated. Next, substantially all of the polysilicon film that is unprotected is etched. Then, a silicon containing gas is introduced and a remainder of the polysilicon film is etched while introducing a silicon containing gas. An etch chamber configured to introduce a silicon containing gas during an etch process is also provided.
    Type: Grant
    Filed: January 25, 2007
    Date of Patent: March 23, 2010
    Assignee: Lam Research Corporation
    Inventors: Helene Del Puppo, Frank Lin, Chris Lee, Vahid Vahedi, Thomas A. Kamp, Alan J. Miller, Saurabh Ullal, Harmeet Singh
  • Patent number: 7670955
    Abstract: The invention is directed to a semiconductor device having a penetrating electrode and a manufacturing method thereof in which reliability and a yield of the semiconductor device are enhanced. A semiconductor substrate is etched to form a via hole from a back surface of the semiconductor substrate to a pad electrode. This etching is performed under an etching condition such that an opening diameter of the via hole at its bottom is larger than a width of the pad electrode. Next, a second insulation film is formed on the back surface of the semiconductor substrate including in the via hole 16, exposing the pad electrode at the bottom of the via hole. Next, a penetrating electrode and a wiring layer are formed, being electrically connected with the pad electrode exposed at the bottom of the via hole 16. Furthermore, a protection layer and a conductive terminal are formed. Finally, the semiconductor substrate is cut and separated in semiconductor dies by dicing.
    Type: Grant
    Filed: January 3, 2008
    Date of Patent: March 2, 2010
    Assignees: Sanyo Electric Co., Ltd., Kanto Sanyo Semiconductors Co., Ltd.
    Inventors: Kojiro Kameyama, Akira Suzuki, Mitsuo Umemoto
  • Patent number: 7655514
    Abstract: A silicon carbide metal semiconductor field-effect transistor includes a bi-layer silicon carbide buffer for improving electron confinement in the channel region and/or a layer disposed over at least the channel region of the transistor for suppressing surface effects caused by dangling bonds and interface states. Also, a sloped MESA fabrication method which utilizes a dielectric etch mask that protects the MESA top surface during MESA processing and enables formation of sloped MESA sidewalls.
    Type: Grant
    Filed: December 13, 2007
    Date of Patent: February 2, 2010
    Assignee: Lockheed Martin Corporation
    Inventors: An-Ping Zhang, Larry B. Rowland, James W. Kretchmer, Jesse Tucker, Edmund B. Kaminsky
  • Patent number: 7651946
    Abstract: A method of wet etching produces high-precision microneedle arrays for use in medical applications. The method achieves precise process control over microneedle fabrication, at single wafer or batch-level, using wet etching of silicon with potassium hydroxide (KOH) solution by accurately identifying the etch time endpoint. Hence, microneedles of an exactly required height, shape, sharpness and surface quality are achieved. The outcome is a reliable, reproducible, robust and relatively inexpensive microneedle fabrication process. Microneedles formed by KOH wet etching have extremely smooth surfaces and exhibit superior mechanical and structural robustness to their dry etched counterparts. These properties afford extra reliability to such silicon microneedles, making them ideal for medical applications. The needles can also be hollowed. Wet etched silicon microneedles can then be employed as masters to replicate the improved surface and structural properties in other materials (such as polymers) by moulding.
    Type: Grant
    Filed: December 12, 2006
    Date of Patent: January 26, 2010
    Assignee: University College Cork - National University of Ireland, Cork
    Inventors: Nicolle Wilke, Anthony Morrissey
  • Patent number: 7648878
    Abstract: A pad oxide layer is formed on a substrate. A pad nitride layer is formed on the pad oxide layer. The pad nitride layer and the pad oxide layer are patterned. Predetermined portions of the substrate are etched using the pad nitride layer as an etch barrier to thereby form trenches used as device isolation regions. The trenches are filled with an insulation layer to thereby form device isolation regions. The pad nitride layer is removed. Recesses are formed by etching predetermined portions of the pad oxide layer and the substrate. The pad oxide layer is removed. A gate oxide layer is formed on the recesses and on the substrate. Gate structures of which bottom portions are buried in the recesses on the gate oxide layer are formed.
    Type: Grant
    Filed: December 20, 2005
    Date of Patent: January 19, 2010
    Assignee: Hynix Semiconductor Inc.
    Inventor: Tae-Woo Jung
  • Patent number: 7582532
    Abstract: A method for fabricating a semiconductor device includes etching a predetermined portion of a substrate to form a first recess having a bottom middle portion roundly projected and bottom edge portions tapered to have a micro-trench profile; and etching the substrate beneath the first recess to form a second recess, the second recess being rounded and being wider than the first recess.
    Type: Grant
    Filed: June 30, 2006
    Date of Patent: September 1, 2009
    Assignee: Hynix Semiconductor Inc.
    Inventors: Ky-Hyun Han, Seung-Bum Kim
  • Patent number: 7579282
    Abstract: A metal layer etch process deposits, patterns and anisotropically etches a polysilicon layer (24) down to an underlying metal layer (22) to form an etched polysilicon structure (54) with polymer layers (50, 52) formed on its sidewall surfaces. The polymer layer (50, 52) are removed to expose an additional surface area (60, 62) of the metal layer (22), and dielectric layers (80, 82) are formed on the sidewall surfaces of the etched polysilicon structure (54). Next, the metal layer (22) is plasma etched to form an etched metal layer (95) with substantially vertical sidewall surfaces (97, 99) by simultaneously charging the dielectric layers (80, 82) to change plasma ion trajectories near the dielectric layers (80, 82) so that plasma ions (92, 94) impact the sidewall surfaces (97, 99) in a more perpendicular angle to enhance etching of the sidewall surfaces (97, 99) of the etched metal layer (95).
    Type: Grant
    Filed: January 13, 2006
    Date of Patent: August 25, 2009
    Assignee: Freescale Semiconductor, Inc.
    Inventors: Shahid Rauf, Olubunmi O. Adetutu, Eric D. Luckowski, Peter L. G. Ventzek
  • Patent number: 7580279
    Abstract: An anti-reflective coating (ARC) is formed over the various layers involved in a cell fabrication process. The ARC is selectively etched such that the edges of the etched areas of the ARC slope downward at an angle determined by the thickness of the ARC. The etching process could include CF4 chemistry. The inner edges of the sloped ARC areas reduce the original photo-defined space since the underlying layers are now defined by the sloped edges.
    Type: Grant
    Filed: June 9, 2006
    Date of Patent: August 25, 2009
    Assignee: Micron Technology, Inc.
    Inventors: Roger W. Lindsay, Frances May, Robert Veltrop
  • Patent number: 7572732
    Abstract: Several techniques are described for modulating the etch rate of a sacrificial light absorbing material (SLAM) by altering its composition so that it matches the etch rate of a surrounding dielectric. This particularly useful in a dual damascene process where the SLAM fills a via opening and is etched along with a surrounding dielectric material to form trenches overlying the via opening.
    Type: Grant
    Filed: May 3, 2006
    Date of Patent: August 11, 2009
    Assignee: Intel Corporation
    Inventors: Michael D. Goodner, Robert P. Meagley, Kevin P. O'Brien
  • Publication number: 20090197420
    Abstract: A method of dry developing a multi-layer mask having a silicon-containing anti-reflective coating (ARC) layer on a substrate is described. The method comprises forming the multi-layer mask on the substrate, wherein the multi-layer mask comprises a lithographic layer overlying the silicon-containing ARC layer. A feature pattern is then formed in the lithographic layer using a lithographic process, wherein the feature pattern comprises a first critical dimension (CD). Thereafter, the feature pattern is transferred from the lithographic layer to the silicon-containing ARC layer using a dry plasma etching process, wherein the first CD in the lithographic layer is reduced to a second CD in the silicon-containing layer and a first edge roughness is reduced to a second edge roughness in the silicon-containing ARC layer.
    Type: Application
    Filed: February 6, 2008
    Publication date: August 6, 2009
    Applicant: TOKYO ELECTRON LIMITED
    Inventors: Vinh Hoang Luong, Masaru Nishino, Vaidyanathan Balasubramaniam
  • Patent number: 7569492
    Abstract: The current invention provides methods for performing a cleaning process that provides greater cleaning efficiency with less damage to device structures. After etching and photoresist stripping, a first plasma clean is performed. The first plasma clean may comprise one or more steps. Following the first plasma clean, a first HO based clean is performed. The first HO based clean may be a de-ionized water rinse, a water vapor clean, or a plasma clean, where the plasma includes hydrogen and oxygen. Following the first HO based clean, a second plasma clean is performed, which may comprise one or more steps. A second HO based clean follows the second plasma clean, and may be a de-ionized water rinse, a water vapor clean, or a plasma clean, where the plasma includes hydrogen and oxygen. For plasma processes, an RF generated plasma, a microwave generated plasma, an inductively coupled plasma, or combination may be used.
    Type: Grant
    Filed: April 28, 2008
    Date of Patent: August 4, 2009
    Assignees: Novellus Systems, Inc., STMicroelectonics S.R.L.
    Inventors: David L. Chen, Yuh-Jia Su, Eddie Ka Ho Chiu, Maria Paola Pozzoli, Senzi Li, Giuseppe Colangelo, Simone Alba, Simona Petroni
  • Patent number: 7554108
    Abstract: In one embodiment, a controller coupled to a focused ion beam tool can execute instructions to acquire parameters for a feature of a semiconductor device, determine a data array using the parameters, and cause the focused ion beam tool to perform tool iterations to form the feature on the semiconductor device using the data array. Other embodiments are described and claimed.
    Type: Grant
    Filed: May 3, 2006
    Date of Patent: June 30, 2009
    Assignee: Intel Corporation
    Inventors: Dane L. Scott, Kevin J. Vasquez
  • Patent number: 7538039
    Abstract: A wiring over a substrate capable of reducing particles between wirings and a method for manufacturing the wiring is disclosed. A wiring over a substrate capable of preventing short-circuiting between wirings due to big difference in projection and depression between wirings and a method for manufacturing the wiring is also disclosed. Further, a wiring over a substrate capable of preventing cracks in the insulating layer due to stress at the edge of a wiring or particles and a method for manufacturing the wiring is also disclosed.
    Type: Grant
    Filed: April 25, 2005
    Date of Patent: May 26, 2009
    Assignee: Semiconductor Energy Laboratory Co., Ltd.
    Inventors: Shinya Sasagawa, Satoru Okamoto, Shigeharu Monoe
  • Patent number: 7524770
    Abstract: In one aspect, an image sensor is provided which includes an interlayer insulation film formed over a substrate including a light receiving device, a color filter formed over the interlayer insulation film, a protection film having a flat top face formed over the interlayer insulation film and the color filter, a buffer film having a convex top face formed over the protection film, and a microlens formed on the convex top face of the buffer film. The microlens has a refractive index which is greater than a refractive index of the buffer film and has a convex top face and a concave bottom face, where the concave bottom face of the microlens contacts the convex top face of the buffer film.
    Type: Grant
    Filed: November 28, 2005
    Date of Patent: April 28, 2009
    Assignee: Samsung Electronics Co., Ltd.
    Inventor: Jin-Hyeong Park
  • Patent number: 7517710
    Abstract: A method of manufacturing a field emission device (FED), which reduces the number of photomask patterning processes and improves the manufacturing yield of the FED, is provided.
    Type: Grant
    Filed: November 28, 2006
    Date of Patent: April 14, 2009
    Assignee: Samsung SDI Co., Ltd.
    Inventors: Jun-Hee Choi, Ho-Suk Kang, Chan-Wook Baik, Ha-Jong Kim
  • Patent number: 7498266
    Abstract: A method for structuring of silicon substrates for microsystem technological device elements, wherein the silicon substrate is covered with an etching mask and wherein the structures are furnished with a predetermined etching profile in the micrometer region with side walls and an etching depth At. For the generation of a predetermined positive etching profile, the side walls of the structures are furnished with the defined slope angle ? of from 60 degrees to 88 degrees relative to the etching bottom and the structures are generated with an etching depth At in the micrometer region. Initially an isotropic etching is performed such that a mask under etching u is generated, wherein the mask under etching u is formed approximately equal to the etching depth At.
    Type: Grant
    Filed: October 27, 2005
    Date of Patent: March 3, 2009
    Assignee: Technische Universitát Dresden
    Inventors: Karola Richter, Daniel Fischer
  • Patent number: 7470629
    Abstract: There is provided a method for fabricating a FinFET in which a self-limiting reaction is employed to produce a unique and useful structure that may be detectable with simple failure analysis techniques. The structure is an improved vertical fin with a gently sloping base portion that is sufficient to reduce or prevent the formation of an undercut area in the base of the vertical fin. The structure is formed via the self-limiting properties of the reaction so that the products of the reaction form both vertically on a surface of the vertical fin and horizontally on a surface of an insulating layer (e.g., buried oxide). The products preferentially accumulate faster at the base of the vertical fin where the products from both the horizontal and vertical surfaces overlap. This accumulation or build-up results from a volume expansion stemming from the reaction.
    Type: Grant
    Filed: October 26, 2005
    Date of Patent: December 30, 2008
    Assignee: International Business Machines Corporation
    Inventors: Wesley Natzle, Bruce B. Doris
  • Patent number: 7459364
    Abstract: A method of forming a floating gate of a non-volatile memory device can include etching a mask pattern formed between field isolation regions in a field isolation pattern on a substrate to recess a surface of the mask pattern below an upper surface of adjacent field isolation regions to form an opening having a width defined by a side wall of the adjacent field isolation regions above the surface. Then the adjacent field isolation regions is etched to increase the width of the opening.
    Type: Grant
    Filed: July 11, 2005
    Date of Patent: December 2, 2008
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Sang-Hoon Lee, Hun-Hyeoung Leam, Jai-Dong Lee, Jung-Hwan Kim, Young-Sub You, Ki-Su Na, Woong Lee, Yong-Sun Lee, Won-Jun Jang
  • Publication number: 20080286978
    Abstract: An etch method includes etching a masked substrate to form a recess with a first sidewall in the substrate. A thin surface layer of the substrate on the first sidewall is then converted into a passivation layer. The masked substrate is etched again to deepen the recess in the substrate. A surface layer of the substrate on the second sidewall of the recess is then converted into a passivation layer. In one embodiment, upon removal of the passivation layers from both sidewalls, the first and second sidewalls of the high aspect ratio recess are aligned to within 10 ? of each other to provide a high aspect ratio recess having a vertical profile.
    Type: Application
    Filed: May 17, 2007
    Publication date: November 20, 2008
    Inventors: Rong Chen, Tae Won Kim, Nicolas Gani, Anisul H. Khan
  • Patent number: RE40748
    Abstract: A process for producing a semiconductor device for forming a highly reliable wiring structure is provided that solves the problem occurring on using a xerogel or a fluorine resin in an inter level dielectric between the wirings to decrease a wiring capacitance, and the problem occurring on misalignment. A process for producing a semiconductor device comprising an inter level dielectric containing a xerogel film or a fluorine resin film comprises a step of forming, on the inter level dielectric comprising a lower layer of the inter level dielectric formed with an organic film and an upper layer of the inter level dielectric formed with a xerogel film or a fluorine resin film, a first mask to be an etching mask for forming a via contact hole by etching the inter level dielectric, and a step of forming, on the first mask, a second mask, which comprises a different material from the first mask, to be an etching mask for forming a wiring groove by etching the inter level dielectric.
    Type: Grant
    Filed: September 30, 2004
    Date of Patent: June 16, 2009
    Assignee: Sony Corporation
    Inventors: Toshiaki Hasegawa, Mitsuru Taguchi, Koji Miyata
  • Patent number: RE43471
    Abstract: In a patterning process of a semiconductor device having inverted stagger type TFTs, a normal photolithography step using diazo naphthoquinone (DNQ)-Novolac resin based positive photo resist is applied, and a problem of the area dependency of the photo resist pattern side wall taper angle may occur. The problem is critical for the reason of influence on variation of an etching shape in a dry-etching step. The present invention has an object to solve the above problem. In a photolithography step, which is patterning step of a semiconductor device having inverted stagger type TFTs, by adjusting a pre-bake temperature or a PEB (post-exposure-bake) temperature, and positively performing evacuation of solvent in a state of a photo resist film, the volume contraction by evacuation of solvent at the post-bake is reduced, and the problem of the area dependency of the photo resist pattern side wall taper angle is solved, which is deformation due to the volume contraction.
    Type: Grant
    Filed: October 8, 2004
    Date of Patent: June 12, 2012
    Assignee: Semiconductor Energy Laboratory Co., Ltd.
    Inventors: Ichiro Uehara, Kazuhiro Toshima, Shunpei Yamazaki