Forming Tapered Profile (e.g., Tapered Etching, Etc.) Patents (Class 438/713)
  • Patent number: 6627546
    Abstract: Particulate and metal ion contamination is removed from a surface, such as a semiconductor wafer containing copper damascene or dual damascene features, employing a fluoride-free aqueous composition comprising a dicarboxylic acid and/or salt thereof; and a hydroxycarboxylic acid and/or salt thereof or amine group containing acid.
    Type: Grant
    Filed: June 29, 2001
    Date of Patent: September 30, 2003
    Assignee: Ashland Inc.
    Inventor: Emil Anton Kneer
  • Patent number: 6620735
    Abstract: A method for processing substrates, in which a photoresist layer is applied and structured on their surface. By blasting the substrate with particles, recesses are put into the surface of the substrate in those areas not covered by photoresist.
    Type: Grant
    Filed: November 21, 2001
    Date of Patent: September 16, 2003
    Assignee: Robert Bosch GmbH
    Inventors: Stefan Pinter, Holger Hoefer
  • Patent number: 6617234
    Abstract: A method of forming metal fuses and bonding pads. A conductive layer is formed in a substrate. A dielectric layer is formed over the substrate. The dielectric layer has an opening that exposes a portion of the conductive layer. A metallic layer is formed over the dielectric layer. The metallic layer is patterned to form a metal fuse and a bonding pad. The bonding pad is electrically connected to the conductive layer via the opening. Both the metal fuse and the bonding pad have undercut sidewalls. Spacers are formed on the undercut sidewalls of the metal fuse and the bonding pad. Finally, a passivation layer that exposes the metal fuse and the bonding pad is formed over the substrate.
    Type: Grant
    Filed: April 13, 2001
    Date of Patent: September 9, 2003
    Assignee: United Microelectronics Corp.
    Inventors: Sung-Hsiung Wang, Yimin Huang, Chiung-Sheng Hsiung
  • Patent number: 6602428
    Abstract: A sensor for measuring a physical amount such as an amount of air includes a membrane structure composed of metal stripes sandwiched between first and second insulating layers. A metal layer made of platinum or the like is formed on the first insulating layer and then heat-treated to improve its properties. Then, the metal layer is etched into a form of the metal stripes. The second insulating layer made of a material such as silicon dioxide is formed on the etched metal stripes. Since the metal layer is heat-treated before it is etched into the form of metal stripes, the metal stripes are not deformed by the heat-treatment. The second insulating layer can be formed on the metal stripes without generating cracks in the second insulating layer.
    Type: Grant
    Filed: November 26, 2001
    Date of Patent: August 5, 2003
    Assignee: Denso Corporation
    Inventors: Hiroyuki Wado, Makiko Sugiura, Toshimasa Yamamoto, Yukihiro Takeuchi, Yasushi Kohno
  • Patent number: 6593235
    Abstract: A semiconductor device having an improved contact hole through an interlayer insulator. A first insulating film comprising silicon nitride is deposited. A second insulating film comprising silicon oxide is deposited on the first insulating film. The deposition condition of the second insulating film is varied during the deposition so that the etching rate of the second insulating film increases from a lower portion toward an upper portion. Thereby, a contact hole which is formed by etching through the first and second insulating films has a tapered configuration to improve a reliability of a connection made therein.
    Type: Grant
    Filed: April 18, 2002
    Date of Patent: July 15, 2003
    Assignee: Semiconductor Energy Laboratory Co., Ltd
    Inventors: Hideki Uochi, Masahiko Hayakawa, Mitsunori Sakama, Toshimitsu Konuma, Shunpei Yamazaki
  • Patent number: 6593246
    Abstract: A process for producing a semiconductor device for forming a highly reliable wiring structure is provided that solves the problem occurring on using a xerogel or a fluorine resin in an inter level dielectric between the wirings to decrease a wiring capacitance, and the problem occurring on misalignment. A process for producing a semiconductor device comprising an inter level dielectric containing a xerogel film or a fluorine resin film comprises a step of forming, on the inter level dielectric comprising a lower layer of the inter level dielectric formed with an organic film and an upper layer of the inter level dielectric formed with a xerogel film or a fluorine resin film, a first mask to be an etching mask for forming a via contact hole by etching the inter level dielectric, and a step of forming, on the first mask, a second mask, which comprises a different material from the first mask, to be an etching mask for forming a wiring groove by etching the inter level dielectric.
    Type: Grant
    Filed: March 14, 2000
    Date of Patent: July 15, 2003
    Assignee: Sony Corporation
    Inventors: Toshiaki Hasegawa, Mitsuru Taguchi, Koji Miyata
  • Publication number: 20030124869
    Abstract: A method of forming emitter tips on a field emission display. A conductive layer is formed on a substrate, and then a photoresist layer is formed on the conductive layer wherein the photoresist layer has at least a pattern for defining predetermined areas of the emitter tips. Next, using plasma etching with the pattern of the photoresist layer as a mask, the conductive layer is etched to become a plurality of emitter stages. The etching rate of the conductive layer is greater than the etching rate of the photoresist layer. Finally, continuous use of plasma etching with an increased vertical-etching rate etches the lateral sidewalls of the emitter stages, thus shaping them as emitter tips.
    Type: Application
    Filed: November 22, 2002
    Publication date: July 3, 2003
    Applicant: Nanya Technology Corporation
    Inventor: Yung-Meng Huang
  • Publication number: 20030124464
    Abstract: A method for forming via openings or contact holes with improved aspect ratios by using a deep UV photoresist is described. In the method, after a deep UV photoresist layer is deposited on top of a thick oxide layer, the deep UV photoresist layer is pre-treated by a curing process with UV radiation for a time period of at least 1 min, and preferably between about 1 min and about 10 min at a temperature of at least 100° C., and preferably at least 160° C. The curing process stabilizes the structure of the deep UV photoresist material and thus reduces the formation of fluorocarbon polymers by the carbon component in the photoresist material and the fluorine component in the etchant gas, and subsequently, reduces the coating of such fluorocarbon polymers at the bottom of the via openings which would otherwise stop the etching process during via or contact formation.
    Type: Application
    Filed: December 31, 2001
    Publication date: July 3, 2003
    Applicant: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Ching-Tien Ma, Tsung-Chuan Chen, Shew-Tsu Hsu
  • Patent number: 6586335
    Abstract: A thin film transistor includes: a substrate, a gate electrode, an insulating film, a semiconductor film, a source electrode, a drain electrode, wherein in at least one electrode of the gate electrode, the source electrode and the drain electrode, end portion of the at least one electrode is tapered in such a manner that a thickness decreases in a direction toward end face of the at least one electrode, the at least one electrode being composed of one electrode material, and prescribed physical property of the at least one electrode being changed in a direction perpendicular to a surface of the at least one electrode, so that an etching rate of the at least one electrode is changed in the direction.
    Type: Grant
    Filed: October 11, 2000
    Date of Patent: July 1, 2003
    Assignee: Mitsubishi Denki Kabushiki Kaisha
    Inventors: Kazuyuki Sakata, Kazunori Inoue, Takeshi Morita, Hitoshi Nagata
  • Patent number: 6586324
    Abstract: A method of forming interconnects. An oxide masking layer with patterns is formed overlaying the metal layer. The patterns of the masking layer are transferred into the metal layer so as to form an opening. Then, a silicon nitride liner is conformally formed on the masking layer, the metal layer and the first insulating layer. Next, the silicon nitride liner and the masking layer are partially removed by reactive ion etching to leave a facet mask to reduce the aspect ratio of the opening followed by removal of the remaining silicon nitride liner. Then, an insulating layer is deposited to fill the opening.
    Type: Grant
    Filed: January 25, 2002
    Date of Patent: July 1, 2003
    Assignee: Nanya Technology Corporation
    Inventors: Tse-Yao Huang, Chih-Ching Lin, Yu-Chi Sun, Chang Rong Wu, Shing-Yih Shih
  • Patent number: 6583020
    Abstract: A method for fabricating a trench isolation for electrically active components in a semiconductor component. A mask is applied to a semiconductor substrate. Subsequently, a trench having side walls is formed in the semiconductor substrate by performing a dry etching process using at least one etching gas such that during the dry etching process, polymers are produced that at least partly cover the side walls of the trench and thereby at least partially protect the side walls against an etching attack from the etching gas. The etching gas is provided with a compound that is selected from the group consisting of at least one hydrocarbon compound and a fluorinated hydrocarbon compound. The trench is filled with an insulating oxide.
    Type: Grant
    Filed: September 11, 2001
    Date of Patent: June 24, 2003
    Assignee: Infineon Technologies AG
    Inventors: Ines Uhlig, Jens Zimmermann, Stephan Wege
  • Patent number: 6583055
    Abstract: A method of forming a stepped contact trench with doped trench sidewalls for shutting off parasitic edge transistors.
    Type: Grant
    Filed: January 25, 2002
    Date of Patent: June 24, 2003
    Assignee: Powerchip Semiconductor Corp.
    Inventor: Chien-Lung Chu
  • Publication number: 20030111439
    Abstract: In the method, a substrate is coated with different films to be patterned. These films have different etch rates. The films and substrate are then coated with a primary etch mask, and subsequently patterned to produce an electrode that has a gradual taper at the electrode edge. The formed electrode eliminates any abrupt substrate to electrode step, so that any subsequent thin-film deposition of piezoelectric material is continuous over the entire electrode surface and the electrode/substrate interface.
    Type: Application
    Filed: December 14, 2001
    Publication date: June 19, 2003
    Inventors: Linus Albert Fetter, Ken Matthew Takahashi
  • Patent number: 6579740
    Abstract: In a thin-film infrared sensor, (100)-oriented semiconductor substrate is used for the sensor fabrication. A surface of the substrate is partially masked to provide an unmasked section where a concave is made and a masked section on the back side of an alley between thin-film sensing areas. An anisotropic etching using an etchant such as KOH is applied to the masked substrate to make the concave (the thin-film sensing areas) and to provide an unetched portion of the substrate at the bottom of the concave on the back side of the alley between the sensing areas. The unetched portion of the substrate makes a rim to support the sensing areas. High concentration Boron doping is not necessary. Thus, it is possible to reduce deformation of thin-film sensing areas caused by a stress in the rim and to reinforce the rim.
    Type: Grant
    Filed: September 24, 2001
    Date of Patent: June 17, 2003
    Assignee: Denso Corporation
    Inventor: Inao Toyoda
  • Patent number: 6576548
    Abstract: Reliable contacts/vias are formed by sputter etching to flare exposed edges of an opening formed in a dielectric layer, depositing a composite barrier layer and then filling the opening with tungsten at a low deposition rate. The resulting contact/via exhibits significantly reduced porosity and contact resistance. Embodiments include sputter etching to incline the edges of an opening formed in an oxide dielectric layer, e.g., a silicon oxide derived from TEOS or BPSG, at an angle of about 83° to about 86°, depositing a thin layer of Ti, e.g., at a thickness of about 250 Å to about 350 Å, depositing at least one layer of titanium nitride, e.g., three layers of titanium nitride, at a total thickness of about 130 Å to about 170 Å, and then depositing tungsten at a deposition rate of about 1,900 to about 2,300 Å/min to fill the opening.
    Type: Grant
    Filed: February 22, 2002
    Date of Patent: June 10, 2003
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Amy Tu, Minh Van Ngo, Austin Frenkel, Robert J. Chiu, Jeff Erhardt
  • Patent number: 6569773
    Abstract: An etching gas mixture containing CHF3, SF6 and a non-oxidizing gas such as Ar is used as an etching gas mixture for the anisotropic plasma-chemical dry-etching of a silicon nitride layer differentially or selectively relative to a silicon oxide layer. The gas mixture does not contain oxygen, chlorine, bromine, iodine or halides in addition to the above mentioned constituents, so that the process can be carried out in reactor systems equipped with oxidizable electrodes. By adjusting the gas flow rates or composition ratios of CHF3, SF6, and argon in the etching gas mixture, it is possible to adjust the resulting etching selectivity of silicon nitride relative to silicon oxide, and the particular edge slope angle of the etched edge of the remaining silicon nitride layer. A high etch rate for the silicon nitride is simultaneously achieved.
    Type: Grant
    Filed: December 17, 1999
    Date of Patent: May 27, 2003
    Assignee: TEMIC Semiconductor GmbH
    Inventors: Norbert Gellrich, Rainer Kirchmann
  • Patent number: 6569774
    Abstract: A plasma etch process for forming a high aspect ratio contact opening through a silicon oxide layer is disclosed. The silicon oxide layer is plasma etched using etch gases that include at least one organic fluorocarbon gas. At least one etch gas is used that includes one or more nitrogen-comprising gases to deposit a surface polymeric material during the etching for maintaining a masking layer over the silicon oxide layer. The method of the invention achieves a complete and anistropic etching of a contact opening having a high aspect ratio and the desired dimensions.
    Type: Grant
    Filed: August 31, 2000
    Date of Patent: May 27, 2003
    Assignee: Micron Technology, Inc.
    Inventor: Shane J. Trapp
  • Patent number: 6567145
    Abstract: The present invention provides a liquid crystal display device which can simplify patterning steps of signal wirings and electrodes during manufacture and can enhance the reliability by preventing the electrolytic corrosion of terminal portions or the like. At the time of forming electrodes and/or signal wirings on a substrate 1, an amorphous oxide conductive film 3 having a large etching rate is laminated onto a metal film 2 which is made of chromium or the like and is formed on the substrate 1, and these films arc etched simultaneously in only one photolithography treatment.
    Type: Grant
    Filed: March 27, 2000
    Date of Patent: May 20, 2003
    Assignee: Hitachi, Ltd.
    Inventors: Toshiki Kaneko, Kikuo Ono, Hajime Ikeda, Masatomo Terakado
  • Publication number: 20030032299
    Abstract: A method is disclosed for aligning structures on first and second opposite sides of a wafer. First one or more transparent islands are formed on the first side of the wafer at an alignment location. The transparent islands have an exposed front side and a rear side embedded in the wafer. At least one alignment mark is formed on the front side of the transparent island. An anisotropic etch is performed through the second side of said the to form an opening substantially reaching the back side of the transparent island. A precise alignment is then carried out on the alignment mark through the opening and the transparent island. In this way a very precise alignment can be carried out on the back side of the wafer for manufacturing MEMS structures.
    Type: Application
    Filed: August 8, 2001
    Publication date: February 13, 2003
    Inventor: Luc Ouellet
  • Patent number: 6514868
    Abstract: An exemplary method is described which forms a contact hole having a critical dimension which is smaller than the critical dimension possible using conventional lithographic techniques. This method can include providing a hard mask over a layer of material in which a contact hole is to be formed; etching the hard mask with a first critical dimension at the top of the hard mask and a second critical dimension at the bottom of the hard mask; and etching a contact hole using the hard mask to transfer the second critical dimension to the contact hole.
    Type: Grant
    Filed: March 26, 2001
    Date of Patent: February 4, 2003
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Angela T. Hui, Bhanwar Singh
  • Patent number: 6514867
    Abstract: An exemplary method is described which forms narrow trench lines having a critical dimension which is smaller than the critical dimension possible using conventional lithographic techniques. This method can include providing a hard mask over a layer of material in which a trench line is to be formed; etching the hard mask with a first critical dimension at the top of the hard mask and a second critical dimension at the bottom of the hard mask; and etching a trench line using the hard mask to transfer the second critical dimension to the trench line.
    Type: Grant
    Filed: March 26, 2001
    Date of Patent: February 4, 2003
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Angela T. Hui, Bhanwar Singh
  • Patent number: 6511902
    Abstract: The present invention generally relates to provide a fabrication method for forming a rounded corner of a contact window or a via by using a two-step light etching technique. In the present invention, after the etching process to form the contact window or the via, an object of the invention is to utilize oxygen plasma and fluorocarbon plasma of the two-step light etching technique to produce the rounded corner of the window or via so as this rounded opening profile of the contact window or the via can supply for following metal-filling processes.
    Type: Grant
    Filed: March 26, 2002
    Date of Patent: January 28, 2003
    Assignee: Macronix International Co., Ltd.
    Inventors: Ming-Chung Liang, Shin-Yi Tsai
  • Patent number: 6497992
    Abstract: In order that reaction products of low vapor pressure may be prevented from being deposited on the side wall of a predetermined pattern when this pattern is to be formed by dry-etching a Pt film or a PZT film, a resist mask 54 having a rounded outer periphery at its head is used when the Pt film 53 deposited on a semiconductor substrate 50 is to be dry-etched. After this dry-etching, moreover, an overetching of a proper extent is performed to completely remove the side wall deposited film 55 which is left on the side of the pattern. The resist mask 54 is formed by exposing and developing a benzophenone novolak resist and subsequently by heating to set it while irradiating it, if necessary, with ultraviolet rays.
    Type: Grant
    Filed: April 17, 2000
    Date of Patent: December 24, 2002
    Assignee: Hitachi, Ltd.
    Inventors: Takashi Yunogami, Shunji Sasabe, Kazuyuki Suko, Jun Abe, Takao Kumihashi, Fumio Murai
  • Patent number: 6495470
    Abstract: A method of forming a contact opening between two conductive features over a semiconductor substrate. Oxide spacers are formed adjacent to the conductive features. A doped oxide layer is then deposited over the semiconductor substrate. Finally, the contact opening is etched through the doped oxide layer between the conductive features such that the oxide spacers are exposed within the contact opening.
    Type: Grant
    Filed: December 29, 1995
    Date of Patent: December 17, 2002
    Assignee: Intel Corporation
    Inventors: S. M. Reza Sadjadi, Mansour Moinpour, Te Hua Lin, Farhad K. Moghadam
  • Patent number: 6479398
    Abstract: A structure of an amorphous-silicon thin film transistor array comprises a substrate, a gate electrode, a gate insulating layer, an amorphous-silicon active layer, an n+ amorphous-silicon layer and a metal layer. The metal layer defines a source electrode and a drain electrode. The structure simplifies the photolithography process by using a less number of masks to manufacture thin film transistors. It also reduces the occurrence of open circuits in the first metal (MI) layer or short circuits between the MI layer and the second metal (MII) layer caused by the photoresist residue or particle contamination. The manufacturing method combines a conventional back-channel-etched (BCE) reduced mask process and a two-step exposure technology. The two-step exposure technology uses two photoresist pattern masks. One is a pattern mask for complete exposure with higher light intensity and the other is a pattern mask for incomplete exposure with lower light intensity.
    Type: Grant
    Filed: October 18, 2000
    Date of Patent: November 12, 2002
    Assignee: Industrial Technology Research Institute
    Inventors: Jr-Hong Chen, Jeng-Hung Sun, Hsixg-Ju Sung, Pi-Fu Chen, Dou-I Chen
  • Publication number: 20020164885
    Abstract: Transistor gate linewidths can be made to be effectively smaller by etching a notch at the bottom of the gate to reduce the effective linewidth. This can be done by etching at a layer interface, such as a silicon-germanium interface. in an over-etch step.
    Type: Application
    Filed: May 7, 2001
    Publication date: November 7, 2002
    Inventors: Thorsten B. Lill, Jitske Kretz
  • Patent number: 6468916
    Abstract: A method of forming a micro structure having nano-sized surface features is provided. The method includes the steps of forming a micro structure having predetermined size and shape on a substrate, coating a carbon polymer layer on the substrate including the micro structure to a predetermined thickness, performing a first etch on the carbon polymer layer by means of plasma etching using a reactive gas in which O2 gas for etching the carbon polymer layer and a gas for etching the micro structure are mixed and forming a mask layer by the residual carbon polymer layer on the surface of the micro structure, and performing a second etch by means of plasma etching using the mixed reactive gas to remove the mask layer and etch the surface of the micro structure not covered by the mask layer so that the micro structure has nano-sized surface features.
    Type: Grant
    Filed: January 5, 2001
    Date of Patent: October 22, 2002
    Assignee: Samsung SDI Co., Ltd.
    Inventors: Jun-hee Choi, Seung-nam Cha, Hang-woo Lee
  • Patent number: 6465360
    Abstract: A fabrication method for an ultra-small opening is described, wherein a first photoresist layer is formed on a substrate. Exposure and development processes are further conducted to transfer the desired pattern with a small opening from the mask layer onto the surface of the first photoresist layer. A plasma treatment is then conducted on the first photoresist layer, followed by coating a second photoresist layer on the first photoresist layer.
    Type: Grant
    Filed: March 23, 2000
    Date of Patent: October 15, 2002
    Assignee: United Microelectronics Corp.
    Inventors: Jin-Sheng Yang, Tzung-Han Lee, Kun-Chi Lin
  • Patent number: 6458710
    Abstract: A process for defining uniform contact hole openings in an insulator layer, and in a top portion of a conductive layer, has been developed. The process features a series of isotropic and anisotropic, dry etch procedures, used to define an initial contact hole opening in the insulator layer, and in the top portion of the conductive region. The isotropic dry etch procedure results in a tapered contact hole profile for top portion of the initial contact hole opening, while subsequent anisotropic dry etch procedures create a straight walled contact hole profile for the bottom portion of the initial contact hole opening. After removal of the contact hole defining, photoresist shape, a wet etch procedure is used to laterally recess-the insulator layer exposed in the initial contact hole opening creating the final, uniform contact hole opening.
    Type: Grant
    Filed: April 9, 2001
    Date of Patent: October 1, 2002
    Assignee: ESM Limited
    Inventor: Hugo Robert Gerard Burke
  • Publication number: 20020137355
    Abstract: A process for defining uniform contact hole openings in an insulator layer, and in a top portion of a conductive layer, has been developed. The process features a series of isotropic and anisotropic, dry etch procedures, used to define an initial contact hole opening in the insulator layer, and in the top portion of the conductive region. The isotropic dry etch procedure results in a tapered contact hole profile for top portion of the initial contact hole opening, while subsequent anisotropic dry etch procedures create a straight walled contact hole profile for the bottom portion of the initial contact hole opening. After removal of the contact hole defining, photoresist shape, a wet etch procedure is used to laterally recess the insulator layer exposed in the initial contact hole opening creating the final, uniform contact hole opening.
    Type: Application
    Filed: April 9, 2001
    Publication date: September 26, 2002
    Applicant: ESM Limited
    Inventor: Hugo Robert Gerard Burke
  • Patent number: 6455357
    Abstract: A thin film transistor is provided that includes a substrate, a gate electrode formed on the substrate, and a gate insulating layer formed all over the substrate including the gate electrode. A first semiconductor layer is formed on the gate insulating layer, and a second semiconductor layer is formed on the first semiconductor layer. Source and drain electrodes are separately etched together to expose a prescribed portion surface of the second semiconductor layer over the gate electrode. The source and drain electrodes adjacent to the prescribed portion of the second semiconductor layer are non-linearly inclined at their edges. A method of fabricating a thin film transistor includes forming a gate electrode on a substrate; forming a gate insulating layer on the gate electrode and the substrate, forming a first semiconductor layer on the gate insulating layer and forming a second semiconductor layer on the first semiconductor layer.
    Type: Grant
    Filed: March 19, 2001
    Date of Patent: September 24, 2002
    Assignee: Hyundai Electronics Industries Co., Ltd.
    Inventors: Sung Gu Kang, Young Jun Jeon
  • Publication number: 20020127750
    Abstract: A method for fabricating field emitters from a conductive or semiconductive substrate. A layer of low work function material may be formed on the substrate. Emission tips that include such a low work function material may have improved performance. An etch mask appropriate for forming emission tips is patterned at desired locations over the substrate and any low work function material thereover. An anisotropic etch of at least the substrate is conducted to form vertical columns therefrom. A sacrificial layer may then be formed over the vertical columns. A facet etch of each vertical column forms an emission tip of the desired shape. If a sacrificial layer was formed over the vertical columns prior to formation of emission tips therefrom, the remaining material of the sacrificial layer may be utilized to facilitate the removal of any redeposition materials formed during the facet etch.
    Type: Application
    Filed: May 8, 2002
    Publication date: September 12, 2002
    Inventors: Guy T. Blalock, Zhaohui Huang, Sanh D. Tang
  • Patent number: 6444574
    Abstract: A method for forming a contact hole having a stepped sidewall is disclosed. First, a capping layer is formed on a semiconductor substrate, and then, a first dielectric layer and a second dielectric layer having different etch rates are formed on the capping layer. A preliminary contact hole is anisotropically etched through the layers, and part of the way through the substrate. After this, the sidewalls of the preliminary contact hole are isotropically etched with an etching agent having a higher etch rate for the second dielectric layer than for the first dielectric layer, thereby forming a step sidewall. Finally, the exposed portions of the capping layer are removed to complete the contact hole fabrication.
    Type: Grant
    Filed: September 6, 2001
    Date of Patent: September 3, 2002
    Assignee: Powerchip Semiconductor Corp.
    Inventor: Chien-Lung Chu
  • Patent number: 6440862
    Abstract: Stepped photoresist profiles provide various methods of forming profiles in an underlying substrate. The stepped photoresist profiles are formed in two layers of photoresist that are disposed over the substrate. The substrate is then etched twice using a respective opening in each photoresist layer to create a stepped profile in the substrate.
    Type: Grant
    Filed: September 14, 2000
    Date of Patent: August 27, 2002
    Assignee: Micron Technology, Inc.
    Inventors: Shubneesh Batra, Christophe Pierrat
  • Patent number: 6436612
    Abstract: A method for forming a protection device with slope laterals is provided. Firstly, providing a semiconductor substrate having a plurality of alternative first sacrificial layers and second sacrificial layers formed thereon. A first etching step is performed to remove one portion of each of the first sacrificial layers and thereby expose one portion of each lateral of each of the second sacrificial layers. Subsequently, performing a second etching step to remove one portion of the lateral of the second sacrificial layer. Then, repeatedly and alternately performing the first etching step and the second etching step until completely removing the first sacrificial layers and then obtaining a plurality of protection devices formed of the second sacrificial layers each of which having slope laterals.
    Type: Grant
    Filed: November 16, 2000
    Date of Patent: August 20, 2002
    Assignee: Macronix International Co., Ltd.
    Inventor: Ching-Yu Chang
  • Patent number: 6432832
    Abstract: A method of performing a shallow trench isolation etch in a silicon layer of a layer stack is disclosed. The layer stack includes a silicon layer being disposed below a pad oxide layer, the pad oxide being disposed below a nitride layer, and the nitride layer being disposed below a photoresist mask. The etching takes place in a plasma processing chamber. The method includes flowing a first etchant source gas into the plasma processing chamber, forming a first plasma from the first etchant source gas, and etching through the nitride layer with the first plasma. The method further includes flowing a second etchant source gas into the plasma processing chamber, forming a second plasma from the second etchant source gas, and substantially removing the photoresist mask with the second plasma, wherein a substantial portion of the photoresist mask is removed from above the nitride layer before the silicon layer.
    Type: Grant
    Filed: June 30, 1999
    Date of Patent: August 13, 2002
    Assignee: Lam Research Corporation
    Inventors: Alan J. Miller, Yosias Melaku
  • Patent number: 6426287
    Abstract: A method of forming a connection is comprised of the steps of depositing a lower conductor. A dielectric layer is deposited on the lower conductor, with the dielectric layer having a lower surface adjacent to the lower conductor, and having an upper surface. An opening extending between the upper surface and the lower surface of the dielectric layer is formed. A conductive plug is deposited within the opening, with the plug having an upper surface proximate the upper surface of the dielectric layer. The upper surface has an edge where the upper surface of the plug is adjacent to the dielectric layer. A recess is formed proximate to the edge of the upper surface of the plug, the recess extending into both the plug and the dielectric layer. Finally, an upper conductor is deposited on the upper surface of the dielectric layer and the upper surface of the plug. A connection thus formed is also disclosed.
    Type: Grant
    Filed: July 11, 2001
    Date of Patent: July 30, 2002
    Assignee: Micron Technology, Inc.
    Inventors: Guy Blalock, Kirk Prall, Fernando Gonzalez
  • Patent number: 6426233
    Abstract: The present invention includes a method for making an emitter for a display device, an emitter array produced by such method, an etch mask used during such method, and a method for making such an etch mask. The method for making the emitter is practiced by providing a substrate, forming a conducting layer on the substrate, forming an emitting layer on the conducting layer, forming an etch mask having a controlled distribution of a plurality of mask sizes over the emitting layer, and forming at least one emitter by removing portions of the emitting layer using the etch mask. The method for making the etch mask is practiced by forming an etch mask layer over an emitting layer, forming a patterning layer having a controlled distribution of mask sizes over the etch mask layer, and forming the etch mask by removing portions of the etch mask layer using the controlled distribution of mask sizes in the patterning layer.
    Type: Grant
    Filed: August 3, 1999
    Date of Patent: July 30, 2002
    Assignee: Micron Technology, Inc.
    Inventor: Eric J. Knappenberger
  • Patent number: 6417067
    Abstract: An electrode structure and fabrication method for a capacitor for a semiconductor memory device which have been improved suitably for the formation of a high dielectric thin film, which method includes forming an interlayer insulation film on a substrate having a transistor formed therein, forming an electrode material on the interlayer insulation layer, forming a resist on the electrode material for patterning the electrode material, forming a lower electrode each surface of which has the same slope with respect to the substrate by performing an isotropic etching on the electrode material having the resist pattern thereon and the resist, forming a dielectric film on the lower electrode to have a regular thickness, and forming an upper electrode on the dielectric film to have a regular thickness.
    Type: Grant
    Filed: March 23, 2001
    Date of Patent: July 9, 2002
    Assignee: Hyundai Electronics Industries Co., Ltd.
    Inventor: Yoo-Chan Jeon
  • Patent number: 6413868
    Abstract: Disclosed is a manufacturable silicon-based modular integrated circuit structure having performance characteristics comparable to high frequency GaAs-based integrated circuit structures, comprising materials and made in process steps which are compatible with existing low cost silicon-based integrated circuit processing.
    Type: Grant
    Filed: January 4, 2001
    Date of Patent: July 2, 2002
    Assignee: International Business Machines Corporation
    Inventors: Thomas Adam Bartush, David Louis Harame, John Chester Malinowski, Dawn Tudryn Piciacchio, Christopher Lee Tessler, Richard Paul Volant
  • Patent number: 6410451
    Abstract: Improved methods and apparatus for chemically assisted etch processing in a plasma processing system are disclosed. In accordance with one aspect of the invention, improved techniques suitable for performing an etch process in the plasma processing can be realized. The invention operates to reduce the critical dimension bias that is associated with the etch process. Lower critical dimension bias provides many benefits. One such benefit is that features with higher aspect ratio can be etched correctly. In addition, several other undesired effects, e.g., micro loading, bowing and passivation, can be curtailed using the techniques of the present invention.
    Type: Grant
    Filed: September 27, 1999
    Date of Patent: June 25, 2002
    Assignee: Lam Research Corporation
    Inventors: Thomas D. Nguyen, George Mueller, Peter McGrath
  • Patent number: 6406638
    Abstract: A method of forming a needle includes the step of anisotropically etching a channel into the back side of a semiconductor substrate. The front side of the semiconductor substrate is then isotropically etched to form a vertical axial surface surrounding the channel. The resultant needle has an elongated body formed of a semiconductor material. The elongated body includes an axial surface positioned between a first end and a second end. The axial surface defines a channel between the first end and the second end. In one embodiment, the first end has a sloping tip with a single circumferential termination point.
    Type: Grant
    Filed: January 6, 2000
    Date of Patent: June 18, 2002
    Assignee: The Regents of the University of California
    Inventors: Boris Stoeber, Dorian Liepmann
  • Patent number: 6407002
    Abstract: A method is provided for improving the tungsten, W-filling of hole openings in semiconductor substrates. This is accomplished by forming an opening—which can be used either as a contact or via hole—with a faceted entrance along with tapered side-walls. This combination of faceted entrance and tapered side-walls improves substantially the tungsten W-filling of contact/via holes in substrates without the formation of key-holes, thereby resulting in metal plugs of high electrical integrity and high reliability.
    Type: Grant
    Filed: August 10, 2000
    Date of Patent: June 18, 2002
    Assignee: Taiwan Semiconductor Manufacturing Company
    Inventors: Li-Te Lin, Yuan-Hung Chiu, Ming-Huan Tsai, Hun-Jan Tao
  • Patent number: 6403493
    Abstract: A microelectronic device fabricating method includes providing a substrate having a beveled portion and forming a layer of structural material on the beveled portion. Some of the structural material can be removed from the beveled portion by anisotropic etching to form a device feature from the structural material. The device feature can be formed on the beveled portion as with a pair of spaced, adjacent barrier material lines that are substantially void of residual shorting stringers extending therebetween. Structural material can be removed from the beveled portion to form an edge defined feature on a substantially perpendicular edge of the substrate. The beveled portion and perpendicular edge can be part of a mandril. The mandril can be removed from the substrate after forming the edge defined feature.
    Type: Grant
    Filed: March 12, 2001
    Date of Patent: June 11, 2002
    Assignee: Micron Technology, Inc.
    Inventor: Alan R. Reinberg
  • Patent number: 6403487
    Abstract: A method is provided for forming separated spacer structures in a mixed-mode integrated circuit, which can be used to form spacer structures with different widths for the various kinds of devices in the mixed-mode integrated circuit. The method is for use on a semiconductor substrate which is formed with at least a first gate for a first kind of device of the mixed-mode integrated circuit and a second gate for a second kind of device of the integrated circuit, with the second gate being larger in width than the first gate such that the first gate is formed with a first spacer structure on the sidewalls thereof to a first desired width while the second gate is formed with a second spacer structure on the sidewalls thereof to a second desired width larger than the first desired width.
    Type: Grant
    Filed: December 16, 1997
    Date of Patent: June 11, 2002
    Assignee: United Microelectronics Corp.
    Inventors: Cheng-Han Huang, Meng-Jin Tsai, Cheng-Jung Hsu, Po-Hung Chen
  • Patent number: 6399509
    Abstract: A method of patterning a metal line and removing the polymer layer that forms on the metal lines sidewalls in an important post etch-polymer removal step (e.g., step 4). A semiconductor structure and an overlying dielectric layer, a first barrier layer, a metal layer; a second barrier layer and resist pattern are provided. A four step etch process is performed in sequence in the same etch chamber. In a first etch step (A), we etch through the second barrier layer using a B and Cl containing gas and a Cl containing gas in a reactive ion etch to form a first polymer layer over the sidewall of the second barrier layer. In a second etch step (B), the metal layer is etched exposing the first barrier layer to form a second polymer over the first polymer and the sidewall of the metal layer; the second etch step performed using a B and Cl containing gas and a Cl containing gas. In a third etch step (C), the first barrier layer is etched to form a third polymer layer over the first and second polymer layers.
    Type: Grant
    Filed: September 18, 2000
    Date of Patent: June 4, 2002
    Assignee: Promos Technologies, Inc.
    Inventors: Hung-Yueh Lu, Ray C. Lee, Hong-Long Chang
  • Patent number: 6399286
    Abstract: A fabrication method for reducing the critical dimension of the conductive line and the space is described in which a conductive layer and a mask layer are sequentially formed on a substrate. A taper etching is conducted to form a plurality of first openings with the cross-sections of the openings being tapered off from top to bottom and exposing the surface of the conductive layer. A planarized sacrificial layer at a similar height as the mask layer is formed covering the exposed surface of the conductive layer. A second taper etching is further conducted on the exposed mask layer to form a plurality of second openings with the cross-sections of the openings being tapered off from top to bottom. The sacrificial layer is then removed. Thereafter, an anisotropic etching is conducted on the exposed conductive layer, using the mask layer as a hard mask, to form a plurality of conductive lines followed by a removal of the mask layer.
    Type: Grant
    Filed: August 26, 1999
    Date of Patent: June 4, 2002
    Assignee: Taiwan Semiconductor Manufacturing Corp.
    Inventors: Yuan-Hung Liu, Bor-Wen Chan
  • Patent number: 6399508
    Abstract: The present disclosure provides a method for etching metal-comprising layers within a semiconductor structure using an inorganic dielectric hard masking layer. A typical stacked metal layer structure for practicing the method of the invention includes, from top to bottom, an inorganic dielectric hard masking layer, an anti-reflection (ARC) layer, a conductive layer, a diffusion barrier layer, and a dielectric layer, all deposited on a surface of a silicon substrate. When the inorganic dielectric hard masking layer is pattern etched, using an overlying photoresist mask, residual photoresist is removed prior to subsequent steps in which underlying metal-comprising layers are etched. The metal-comprising layers are then etched using a chlorine-based plasma, using the inorganic dielectric layer as a hard mask. The method of the invention provides good etch profile control without undesirable polymeric contamination.
    Type: Grant
    Filed: January 6, 2000
    Date of Patent: June 4, 2002
    Assignee: Applied Materials, Inc.
    Inventors: Chris Ting, Janet Yu
  • Patent number: 6395639
    Abstract: A process for forming a patterned film structure within a semiconductor device. The process sequentially forms a pattern within a hardmask film and than within a semiconductor or other film formed beneath the hardmask film. The etch bias of both isolated and nested features formed within the films, is substantially the same with respect to a masking film formed over the hardmask film. The process includes a hardmask film etching sequence including an argon treatment step and a hardmask film etching step which is resistant to localized etching effects and includes O2 and C2F6 as etchant gasses.
    Type: Grant
    Filed: September 16, 1999
    Date of Patent: May 28, 2002
    Assignee: Agere Systems Guardian Corporation
    Inventors: Thomas Craig Esry, Nace Layadi, Sylvia Marci Luque, Simon John Molloy, Mario Pita
  • Patent number: 6387717
    Abstract: The present invention relates to field emitters and methods of fabricating the same wherein the field emission tips of the field emitters are formed by utilization of a facet etch. An etch mask is patterned on a conductive substrate in the locations desired for subsequently formed field emission tips. The conductive substrate is then anisotropically etched to translate the shape of the mask into the conductive substrate which forms a vertical column from the conductive substrate. The etch mask is then removed and the vertical column is facet etched to form the field emission tip. Low work function materials may also be incorporated into the field emission tips to improve field emission tip performance by depositing a layer of low work function material on the conductive substrate prior to patterning the etch mask.
    Type: Grant
    Filed: April 26, 2000
    Date of Patent: May 14, 2002
    Assignee: Micron Technology, Inc.
    Inventors: Guy T. Blalock, Sanh D. Tang, Zhaohui Huang