Forming Tapered Profile (e.g., Tapered Etching, Etc.) Patents (Class 438/713)
  • Patent number: 7449401
    Abstract: A semiconductor device with an asymmetric transistor and a method for fabricating the same are provided. The semiconductor device includes: a substrate having a plurality of first active regions, at least one second active region, and a plurality of device isolation regions; gate patterns formed in a step structure over a border region between individual first active regions and second active region, wherein one side of the individual gate pattern is formed over a portion of the individual first active region, and the other side of the individual gate pattern is formed over a portion of the second active region; spacers formed on lateral walls of the gate patterns; first cell junction regions formed in the first active regions, for connecting to storage nodes; and a second cell junction region formed in the second active region, for connecting to a bit line.
    Type: Grant
    Filed: December 29, 2005
    Date of Patent: November 11, 2008
    Assignee: Hynix Semiconductor Inc.
    Inventors: Tae-Woo Jung, Sang-Won Oh
  • Patent number: 7446036
    Abstract: A microelectronic structure and a method for fabricating the microelectronic structure use a dielectric layer that is located and formed upon a first conductor layer. An aperture is located through the dielectric layer. The aperture penetrates vertically into the first conductor layer and extends laterally within the first conductor layer beneath the dielectric layer while not reaching the dielectric layer, to form an extended and winged aperture. A contiguous via and interconnect may be formed anchored into the extended and winged aperture while using a plating method, absent voids.
    Type: Grant
    Filed: December 18, 2007
    Date of Patent: November 4, 2008
    Assignees: International Business Machines Corporation, Advanced Micro Devices, Inc.
    Inventors: Tibor Bolom, Stephan Grunow, David Rath, Andrew Herbert Simon
  • Patent number: 7446048
    Abstract: An etching apparatus of the present invention comprises a reaction chamber, a lower electrode placed on the bottom surface of the reaction chamber, an upper electrode placed at the ceiling of the reaction chamber to face the lower electrode, and a focus ring placed on the lower electrode and having a cavity for holding a to-be-processed substrate. The lower surface of the upper electrode is provided, at its middle part, with a recess having a smaller inside diameter than the diameter of the to-be-processed substrate. Thus, in the generation of plasma, the amount of further incident radicals can be reduced in a middle part of the to-be-processed substrate. Therefore, a hole or the like located in the middle part of the to-be-processed substrate can be formed to have a desired shape without having a tapered shape.
    Type: Grant
    Filed: January 5, 2005
    Date of Patent: November 4, 2008
    Assignee: Matsushita Electric Industrial Co., Ltd.
    Inventor: Kota Oikawa
  • Patent number: 7419613
    Abstract: A support electrode (2) and a counter electrode (16) constituting parallel plate electrodes are disposed in a process vessel (1). A substrate (W) with an organic material film formed thereon is supported by the support electrode (2). A high-frequency power of a frequency of 40 MHz or above for generating the plasma is applied to the support electrode (2), so that a high-frequency electric field is formed between the support electrode (2) and the counter electrode (16). A process gas is supplied into the process vessel (1) to generate plasma of the process gas by the high-frequency electric field. The organic material film on the substrate (W) is etched with the plasma, with an organic material film serving as a mask. The process gas includes an ionization accelerating gas, such as Ar, that is ionized from a ground state or metastable state with an ionization energy of 10 eV or below and has a maximum ionization cross-section of 2×1016 cm2 or above.
    Type: Grant
    Filed: December 25, 2003
    Date of Patent: September 2, 2008
    Assignees: Tokyo Electron Limited, Kabushiki Kaisha Toshiba
    Inventors: Masanobu Honda, Shoichiro Matsuyama, Kazuya Nagaseki, Hisataka Hayashi
  • Patent number: 7413915
    Abstract: Methods of micro-machining a semiconductor substrate to form through fluid feed slots therein. One method includes providing a semiconductor substrate wafer having a thickness greater than about 500 microns and having a device side and a back side opposite the device side. The back side of the wafer is mechanically ground to provide a wafer having a thickness ranging from about 100 up to about 500 microns. Dry etching is conducted on the wafer from a device side thereof to form a plurality of reentrant fluid feed slots in the wafer from the device side to the back side of the wafer.
    Type: Grant
    Filed: December 1, 2004
    Date of Patent: August 19, 2008
    Assignee: Lexmark International, Inc.
    Inventors: John W. Krawczyk, Andrew L. McNees, Richard L. Warner
  • Patent number: 7410897
    Abstract: A semiconductor device has anisotropically formed via holes through a PMD layer. The anisotropic geometry of the via holes results in the diameter of a via hole over a gate structure being equal to the diameter of a via hole not over the gate structure. The via holes are formed by depositing a silicon layer and an antireflective layer over the PMD layer. The silicon layer and the antireflective layer are etched to have holes with a regular taper. The holes through the PMD are anisotropically etched so as to have straight walls.
    Type: Grant
    Filed: December 9, 2005
    Date of Patent: August 12, 2008
    Assignee: Sharp Kabushiki Kaisha
    Inventor: Hideyuki Kanzawa
  • Patent number: 7396765
    Abstract: A method of fabricating a liquid crystal display device according to an embodiment of the present invention includes forming first and second conductive layers on a substrate, wherein the first layer is transparent; patterning the second conductive layer and the first conductive layer using the photo-resist pattern as a mask; etching at least one lateral part of the patterned second conductive layer using the photo-resist pattern as a mask; and removing the remaining photo-resist pattern.
    Type: Grant
    Filed: October 21, 2005
    Date of Patent: July 8, 2008
    Assignee: LG Display Co., Ltd.
    Inventors: Dai Yun Lee, Yong In Park
  • Patent number: 7393791
    Abstract: There is provided an etching method in which a protective film existing in an etching-destined region of a substrate structure is removed by means of ICP-RIE to form an exposure region of the principal surface of the substrate. The substrate structure comprises a substrate, a protective film formed on the substrate, a photoresist layer formed on the protective film, and a hole formed throughout the photoresist layer. The hole comprises an opening formed in the photoresist layer surface and a hollow linked to the opening in the thickness direction of the photoresist layer and reaching the protective film. ICP-RIE is performed under conditions such that (1) ICP power is 20 to 100 W, (2) RIE power is 5 to 50 W, and (3) the pressure in the etching chamber is 1 to 100 mTorr.
    Type: Grant
    Filed: August 30, 2006
    Date of Patent: July 1, 2008
    Assignee: Oki Electric Industry Co., Ltd.
    Inventors: Katsuaki Kaifu, Juro Mita
  • Patent number: 7390755
    Abstract: The current invention provides methods for performing a cleaning process that provides greater cleaning efficiency with less damage to device structures. After etching and photoresist stripping, a first plasma clean is performed. The first plasma clean may comprise one or more steps. Following the first plasma clean, a first HO based clean is performed. The first HO based clean may be a de-ionized water rinse, a water vapor clean, or a plasma clean, where the plasma includes hydrogen and oxygen. Following the first HO based clean, a second plasma clean is performed, which may comprise one or more steps. A second HO based clean follows the second plasma clean, and may be a de-ionized water rinse, a water vapor clean, or a plasma clean, where the plasma includes hydrogen and oxygen. For plasma processes, an RF generated plasma, a microwave generated plasma, an inductively coupled plasma, or combination may be used.
    Type: Grant
    Filed: May 1, 2002
    Date of Patent: June 24, 2008
    Assignees: Novellus Systems, Inc., STMicroelectronics S.R.L.
    Inventors: David L. Chen, Yuh-Jia Su, Eddie Ka Ho Chiu, Maria Paola Pozzoli, Senzi Li, Giuseppe Colangelo, Simone Alba, Simona Petroni
  • Patent number: 7378703
    Abstract: The semiconductor device includes a substrate including a first active region and a second active region having a greater height than that of the first active region. A gate pattern has a step structure, which is formed on a border region between the first active region and the second active region. The gate pattern extends from a predetermined portion of the first active region to a predecided portion of the second active region. Gate spacers are formed on both sidewalls of the gate pattern. A first cell junction is formed in the first active region at one gate spacer and connected to a storage node contact. A second cell junction is formed in the second active region at the other gate spacer and connected to a bit line contact.
    Type: Grant
    Filed: December 28, 2005
    Date of Patent: May 27, 2008
    Assignee: Hynix Semiconductor Inc.
    Inventors: Tae-Woo Jung, Sang-Won Oh
  • Patent number: 7365015
    Abstract: A method of forming a metal gate in a wafer. PolySi1-xGex and polysilicon are used to form a tapered groove. Gate oxide, PolySi1-xGex, and polysilicon is deposited on a wafer. A resist pattern is formed. A portion of the polysilicon, PolySi1-xGex, and gate oxide is removed to provide a tapered profile. The resist is removed; a dielectric liner is deposited, and then at least a portion of the dielectric liner is removed, thereby exposing the polysilicon and leaving the dielectric liner in contact with the polysilicon, PolyS1-xGex, and gate oxide. A dielectric is deposited, and a portion is removed thereby exposing the polysilicon. The polysilicon, PolySi1-xGex, and gate oxide is removed from inside the dielectric liner, thereby leaving a tapered gate groove. Metal is then deposited in the groove.
    Type: Grant
    Filed: July 13, 2004
    Date of Patent: April 29, 2008
    Assignee: LSI Logic Corporation
    Inventors: Hong Lin, Wai Lo, Sey-Shing Sun, Richard Carter
  • Patent number: 7358170
    Abstract: The invention includes methods of electroless plating of nickel selectively on exposed conductive surfaces relative to exposed insulative surfaces. The electroless plating can utilize a bath which contains triethanolamine, maleic anhydride and at least one nickel salt.
    Type: Grant
    Filed: June 9, 2005
    Date of Patent: April 15, 2008
    Assignee: Micron Technology, Inc.
    Inventor: Chandra Tiwari
  • Patent number: 7354856
    Abstract: The manufacture of damascene structures having improved performance, particularly, but not by way of limitation, dual damascene structures is provided. In one embodiment, a substrate having a conductive layer is formed in a first insulating layer. A protective layer is formed above the conductive layer. An etching stop layer is formed above the protective layer and the first insulating layer. A second insulating layer is formed above the etching stop layer. A first patterned photoresist layer is formed above the second insulating layer, the first patterned photoresist layer having a first pattern. The first pattern is etched into the second insulating layer and the etching stop layer to form a first opening. A via plug is filled at least partially in the first opening. An anti-reflective coating (ARC) layer is formed above the second insulating layer. A second patterned photoresist layer is formed above the ARC layer, the second photoresist layer having a second pattern.
    Type: Grant
    Filed: March 4, 2005
    Date of Patent: April 8, 2008
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Ming-Shih Yeh, Ming-Hsing Tsai, Shau-Lin Shue, Chen-Hua Yu
  • Patent number: 7338906
    Abstract: The present invention relates to a method for fabricating a semiconductor device with a fine pattern even without decreasing a line width of a photoresist pattern. The method includes the steps of: forming a target etching layer on a substrate; forming a plurality of etch mask patterns with high pattern density in a first region and a low pattern density in a second region on the target etching layer; removing a native oxide layer grown on the target etching layer such that a line width of each etch mask pattern decreases in more extents in the second region than in the first region; and etching the target etching layer by using the plurality of etch mask patterns as a mask.
    Type: Grant
    Filed: April 26, 2005
    Date of Patent: March 4, 2008
    Assignee: Hynix Semiconductor, Inc.
    Inventor: Ki-Won Nam
  • Patent number: 7320897
    Abstract: A nanotip electroluminescence (EL) diode and a method are provided for fabricating said device. The method comprises: forming a plurality of Si nanotip diodes; forming a phosphor layer overlying the nanotip diode; and, forming a top electrode overlying the phosphor layer. The nanotip diodes are formed by: forming a Si substrate with a top surface; forming a Si p-well; forming an n+ layer of Si, having a thickness in the range of 30 to 300 nanometers (nm) overlying the Si p-well; forming a reactive ion etching (RIE)-induced polymer grass overlying the substrate top surface; using the RIE-induced polymer grass as a mask, etching areas of the substrate not covered by the mask; and, forming the nanotip diodes in areas of the substrate covered by the mask.
    Type: Grant
    Filed: March 23, 2005
    Date of Patent: January 22, 2008
    Assignee: Sharp Laboratories of Amrica, Inc.
    Inventors: Sheng Teng Hsu, Tingkai Li, Wei-Wei Zhuang
  • Patent number: 7320927
    Abstract: The present invention provides a process of manufacturing an isolation structure for use in a semiconductor device. The process includes forming an opening in a substrate through a patterned photoresist layer 225 and a hardmask layer 215 located over the substrate 205 with plasma, trimming the photoresist layer 225 with a plasma to create an exposed portion 215a of the hardmask layer 215, removing the exposed portion 215a with a plasma to create a trench guide opening 227, and creating a trench 230 through the trench guide opening 227 with a plasma.
    Type: Grant
    Filed: October 20, 2003
    Date of Patent: January 22, 2008
    Assignee: Texas Instruments Incorporated
    Inventors: Juanita DeLoach, Brian A. Smith
  • Patent number: 7307025
    Abstract: A method for etching features in a silicon oxide based dielectric layer over a substrate, comprising performing an etch cycle. A lag etch partially etching features in the silicon oxide based dielectric layer is performed, comprising providing a lag etchant gas, forming a plasma from the lag etchant gas, and etching the etch layer with the lag etchant gas, so that smaller features are etched slower than wider features. A reverse lag etch further etching the features in the silicon oxide based dielectric layer is performed comprising providing a reverse lag etchant gas, which is different from the lag etchant gas and is more polymerizing than the lag etchant gas, forming a plasma from the reverse lag etchant gas, and etching the silicon oxide based dielectric layer with the plasma formed from the reverse lag etchant gas, so that smaller features are etched faster than wider features.
    Type: Grant
    Filed: April 12, 2005
    Date of Patent: December 11, 2007
    Assignee: Lam Research Corporation
    Inventors: Binet A. Worsham, Sean S. Kang, David Wei, Vinay Pohray, Bi Ming Yen
  • Patent number: 7303945
    Abstract: A method for forming a pattern of a stacked film, includes steps (a) to (e). The step (a) is forming sequentially a first base insulating film and a light shielding material on a transparent substrate. The step (b) is patterning the light shielding material to obtain a light shielding film with a first pattern. The step (c) is forming sequentially a second base insulating film, a semiconductor film and a first oxide film on a substrate. The step (d) is forming a resist pattern with a second pattern on the first oxide film. The step (e) is forming a pattern of a stacked film by dry etching the first oxide film and the semiconductor film, above the light shielding film. The stacked film includes the semiconductor film and the first oxide film. The dry etching includes an etching by using an etching gas and the resist pattern as a mask. The semiconductor film includes a taper angle which is controlled to be within predetermined range.
    Type: Grant
    Filed: November 30, 2004
    Date of Patent: December 4, 2007
    Assignee: NEC Corporation
    Inventors: Nobuya Seko, Hitoshi Shiraishi, Kenichi Hayashi, Naoto Hirano, Atsushi Yamamoto
  • Patent number: 7265025
    Abstract: A method teaches how to fill trench structures formed in a semiconductor substrate. The trench structures are coated in a first deposition process with a first primary filling layer with a high conformity and minimal roughness. A V etching reaching down to a predetermined depth of the trench structure is subsequently performed in order to produce a V-profile.
    Type: Grant
    Filed: December 10, 2004
    Date of Patent: September 4, 2007
    Assignee: Infineon Technologies AG
    Inventors: Dietmar Temmler, Barbara Lorenz, Daniel Koehler, Matthias Foerster
  • Patent number: 7247573
    Abstract: A process for forming a tapered trench in a dielectric material includes the steps of forming a dielectric layer on a semiconductor wafer, and plasma etching the dielectric layer; during the plasma etch, the dielectric layer is chemically and physically etched simultaneously.
    Type: Grant
    Filed: December 20, 2004
    Date of Patent: July 24, 2007
    Assignees: STMicroelectronics S.r.l., OVONYX, Inc.
    Inventor: Alessandro Spandre
  • Patent number: 7238609
    Abstract: A method for fabricating a semiconductor device has the steps of forming a conductive film on a substrate, forming an insulating film such that the conductive film is covered with the insulating film, forming, in the insulating film, a hole having a bottom portion not reaching the conductive film by using a mask layer having a first opening pattern, and forming, in the insulating film, an opening for exposing the conductive film by using a mask layer having a second opening pattern having an opening diameter larger than an opening diameter of the first opening pattern. An obtuse angle is formed between a wall surface of the opening and a bottom surface of the opening.
    Type: Grant
    Filed: February 26, 2004
    Date of Patent: July 3, 2007
    Assignee: Matsushita Electric Industrial Co., Ltd.
    Inventor: Toyoji Ito
  • Patent number: 7211517
    Abstract: A method of manufacturing a semiconductor device of the present invention includes (a) sequentially forming a gate insulating film 14, a first conductive layer 15 and a first insulating film 16 on a semiconductor layer 13 provided on an insulating film 12; (b) selectively removing the semiconductor layer, the gate insulating film, the first conductive layer and the first insulating film to form a device isolation trench; (c) forming a second insulating film 17 in the device isolation [element separation] trench, wherein a height of an upper surface of the second insulating film is substantially coincident with that of an upper surface of the first insulating film; (d) removing a part of the second insulating film and the first insulating film such that a height of an upper surface of the exposed first conductive layer is substantially coincident with that of the top surface of the second insulating film; and (e) patterning the first conductive layer to form a gate electrode.
    Type: Grant
    Filed: September 5, 2002
    Date of Patent: May 1, 2007
    Assignee: NEC Corporation
    Inventors: Yukishige Saito, Risho Koh, Jyonu Ri, Hisashi Takemura
  • Patent number: 7202178
    Abstract: A method of micro-machining a semiconductor substrate to form through slots therein and substrates made by the method. The method includes providing a dry etching chamber having a platen for holding a semiconductor substrate. During an etching cycle of a dry etch process for the semiconductor substrate, a source power is decreased, a chamber pressure is decreased from a first pressure to a second pressure, and a platen power is increased from a first power to a second power. Through slots in the substrate provided by the method can have a reentrant profile for fluid flow therethrough.
    Type: Grant
    Filed: December 1, 2004
    Date of Patent: April 10, 2007
    Assignee: Lexmark International, Inc.
    Inventors: John W. Krawczyk, Andrew L. McNees, Richard L. Warner
  • Patent number: 7189653
    Abstract: A mask material layer 102 of a desired pattern is formed on a silicon oxide film 101. The exposed parts of the silicon oxide film 101 is etched in accordance with the pattern of the mask material layer 102 by plasma etching by using a mixed gas fed at a rate such that the ratio (C5F8+O2/Ar) of the total flow rate of C5F8+O2 to the flow rate of Ar is 0.02 (2%) or less. Thus, a generally vertical right-angled portion is formed in the silicon oxide film 101. Therefore, no microtrenches are formed, and etching into a desired pattern is precisely effected.
    Type: Grant
    Filed: February 3, 2003
    Date of Patent: March 13, 2007
    Assignee: Tokyo Electron Limited
    Inventor: Takayuki Katsunuma
  • Patent number: 7186661
    Abstract: A method for etching a polysilicon gate structure in a plasma etch chamber is provided. The method initiates with defining a pattern protecting a polysilicon film to be etched. Then, a plasma is generated. Next, substantially all of the polysilicon film that is unprotected is etched. Then, a silicon containing gas is introduced and a remainder of the polysilicon film is etched while introducing a silicon containing gas. An etch chamber configured to introduce a silicon containing gas during an etch process is also provided.
    Type: Grant
    Filed: June 27, 2003
    Date of Patent: March 6, 2007
    Assignee: Lam Research Corporation
    Inventors: Helene Del Puppo, Frank Lin, Chris Lee, Vahid Vahedi, Thomas A. Kamp, Alan J. Miller, Saurabh Ullal, Harmeet Singh
  • Patent number: 7175777
    Abstract: A single, controlled etch step can be used to form a sharp tip feature along a sidewall of an etch feature. An etch process is used that is selective to a layer of tip material relative to the substrate upon which the layer is deposited. A lag can be created in the etch, such that the etch rate is slower near the sidewall. The sharp tip feature is formed from the same layer of material used to create the etch feature. The sharp tip feature can be used to decrease the minimum critical dimension of an etch process, such as may be due to the minimum resolution of a photolithographic process. The novel tip feature also can be used for other applications, such as to create a microaperture for a photosensitive device, or to create a micromold that can be used to form objects such as microlenses.
    Type: Grant
    Filed: December 2, 2003
    Date of Patent: February 13, 2007
    Assignee: National Semiconductor Corporation
    Inventors: André Paul Labonté, Lee James Jacobson
  • Patent number: 7169710
    Abstract: The wiring of the present invention has a layered structure that includes a first conductive layer (first layer) having a first width and made of one or a plurality of kinds of elements selected from W and Mo, or an alloy or compound mainly containing the element, a low-resistant second conductive layer (second layer) having a second width smaller than the first width, and made of an alloy or a compound mainly containing Al, and a third conductive layer (third layer) having a third width smaller than the second width, and made of an alloy or compound mainly containing Ti. With this constitution, the present invention is fully ready for enlargement of a pixel portion. At least edges of the second conductive layer have a taper-shaped cross-section. Because of this shape, satisfactory coverage can be obtained.
    Type: Grant
    Filed: March 19, 2002
    Date of Patent: January 30, 2007
    Assignee: Semiconductor Energy Laboratory Co., Ltd.
    Inventors: Shunpei Yamazaki, Hideomi Suzawa, Koji Ono, Yoshihiro Kusuyama
  • Patent number: 7138341
    Abstract: An exemplary method for making a memory structure comprises forming a first hard mask layer, forming at least one mask layer above the first hard mask layer, patterning the at least one mask layer, etching the at least one mask layer to form an opening having a first lateral width, and a second lateral width different than the first lateral width, forming a second hard mask layer having substantially the first and second lateral widths in the opening, and etching the first hard mask layer using at least one of the lateral widths of the second hard mask layer.
    Type: Grant
    Filed: July 7, 2004
    Date of Patent: November 21, 2006
    Assignee: Hewlett-Packard Development Company, L.P.
    Inventor: Manish Sharma
  • Patent number: 7129171
    Abstract: A method of etching a barrier layer in an integrated circuit (IC) wherein said barrier layer is composed of silicon nitride or silicon carbide. The method comprises receiving an etched IC structure having an exposed barrier layer. The method then proceeds to apply an etchant gas mixture comprising a nitrous oxide (N2O) gas and a fluoromethane (CH3F) gas. The etchant gas mixture provides a relatively high selectivity between the barrier layer to an adjacent dielectric layer.
    Type: Grant
    Filed: October 14, 2003
    Date of Patent: October 31, 2006
    Assignee: Lam Research Corporation
    Inventors: Helen Zhu, Rao Annapragada
  • Patent number: 7119022
    Abstract: In the manufacturing method of a GOLD structured TFT having a gate electrode of double-layered structure, in which, compared to a second layer gate electrode, the first layer gate electrode is thinner in film thickness and longer in dimension of the channel direction, by controlling the density of the photo-absorbent contained in a positive type resist such as diazonaphthoquinone (DNQ)-novolac resin series, the taper angle of the side wall is controlled to a desired angle range so that the angle thereof becomes smaller. Owing to this, it is possible to control the retreat amount of the resist when carrying out dry etching and the dimension of Lov area to a desired dimensional range so that the dimension thereof becomes larger.
    Type: Grant
    Filed: May 24, 2004
    Date of Patent: October 10, 2006
    Assignee: Semiconductor Energy Laboratory Co., Ltd.
    Inventors: Ichiro Uehara, Hideomi Suzawa
  • Patent number: 7105435
    Abstract: Methods for forming a contact hole in a semiconductor device are disclosed. A disclosed method is capable of preventing voids from being formed in a contact hole or a via hole. In particular, when a metal insulation film or an interlayer insulation film is selectively etched to form a contact hole or a via hole, a top edge of the contact hole or the via hole is rounded by using a plasma having spiral movement.
    Type: Grant
    Filed: December 30, 2003
    Date of Patent: September 12, 2006
    Assignee: Dongbu Electronics Co., Ltd.
    Inventor: Kwan-Ju Koh
  • Patent number: 7101786
    Abstract: Provided is a method for forming a metal line in a semiconductor device. The method forms round portions at top edges of an insulation film by means of a polymer and then etches the rest portion (i.e., sidewall parts) in an almost vertical direction, which makes it possible to shrink down a width of a patterned insulation film at maximum nevertheless of a dimension of a metal-line patterning mask. By way the method, an interval between adjacent metal lines is extended at maximum, preventing mutual interference between the metal lines.
    Type: Grant
    Filed: May 27, 2005
    Date of Patent: September 5, 2006
    Assignee: Hynix Semiconductor Inc.
    Inventor: Jae Jung Lee
  • Patent number: 7101798
    Abstract: Several techniques are described for modulating the etch rate of a sacrificial light absorbing material (SLAM) by altering its composition so that it matches the etch rate of a surrounding dielectric. This is particularly useful in a dual damascene process where the SLAM fills a via opening and is etched along with a surrounding dielectric material to form trenches overlying the via opening.
    Type: Grant
    Filed: November 17, 2003
    Date of Patent: September 5, 2006
    Assignee: Intel Corporation
    Inventors: Michael D. Goodner, Robert P. Meagley, Kevin P. O'Brien
  • Patent number: 7098141
    Abstract: A semiconductor manufacturing process provides a shallow trench in a silicon layer using a silicon containing etch gas to provide controlled top and/or bottom rounding of the trench or to enhance profile control and/or critical dimension control by controlled deposition across a semiconductor substrate. A gate structure can be etched on a semiconductor substrate using a silicon containing gas to enhance profile control and/or critical dimension control.
    Type: Grant
    Filed: March 3, 2003
    Date of Patent: August 29, 2006
    Assignee: Lam Research Corporation
    Inventors: Thomas A. Kamp, Alan J. Miller
  • Patent number: 7098137
    Abstract: A method of making a micro corner cube array includes the steps of: providing a substrate, at least a surface portion of which consists of cubic single crystals and which has a surface that is substantially parallel to {111} planes of the crystals; and dry-etching the surface of the substrate anisotropically with an etching gas that is reactive with the substrate, thereby forming a plurality of unit elements of the micro corner cube array on the surface of the substrate. Each of the unit elements is made up of a number of crystal planes that have been etched at a lower etch rate than the {111} planes of the crystals.
    Type: Grant
    Filed: December 10, 2002
    Date of Patent: August 29, 2006
    Assignee: Sharp Kabushiki Kaisha
    Inventors: Ichiro Ihara, Kiyoshi Minoura, Yutaka Sawayama
  • Patent number: 7081413
    Abstract: A method for forming an ultra narrow semiconductive gate structure utilizes a tapered hardmask covered by an oxide liner. The tapered hardmask is formed over the semiconductive gate material by tapered etching. After the tapered hardmask structure is formed over the semiconductive material, an oxide layer is formed over the tapered hardmask. A sequence of highly selective etch operations are carried out to etch uncovered portions of the semiconductive gate material while the portions of the gate material covered by the tapered hardmask and oxide film remain unetched to form ultra narrow gate structures.
    Type: Grant
    Filed: January 23, 2004
    Date of Patent: July 25, 2006
    Assignee: Taiwan Semiconductor Manufacturing Company
    Inventors: Bor-Wen Chan, Baw-Ching Perng, Ying-Tsung Chen
  • Patent number: 7078279
    Abstract: A method of manufacturing a thin film transistor capable of simplifying a substrate structure and a manufacturing process is disclosed. The method of manufacturing a thin film transistor array substrate includes involves a three-round mask process, which includes: forming a gate pattern on a substrate; forming a gate insulating film on the substrate having the gate pattern thereon; forming a source/drain pattern and a semiconductor pattern; forming a passivation film to protect the thin film transistor on an entire surface of the substrate; forming a photo-resist pattern on the passivation film; patterning the passivation film using the photo-resist pattern to form a passivation film pattern; and forming a transparent electrode pattern being extended from a lateral surface of the passivation film pattern and formed at an area except for the passivation film pattern.
    Type: Grant
    Filed: September 28, 2004
    Date of Patent: July 18, 2006
    Assignee: LG.Philips LCD Co., Ltd.
    Inventors: Soon Sung Yoo, Heung Lyul Cho
  • Patent number: 7074723
    Abstract: We have developed an uncomplicated method of plasma etching deeply recessed features such as deep trenches, of at least 5 ?m in depth, in a silicon-containing substrate, in a manner which generates smooth sidewalls, having a roughness of less than about 1 ?m, typically less than about 500 nm, and even more typically between about 100 nm and 20 nm. Features having a sidewall taper angle, relative to an underlying substrate, typically ranges from about 85° to about 92° and exhibiting the smooth sidewalls are produced by the method. In one embodiment, a stabilizing etchant species is used constantly during the plasma etch process, while at least one other etchant species and at least one polymer depositing species are applied intermittently, typically periodically, relative to each other. In another embodiment, the stabilizing etchant species is used constantly and a mixture of the other etchant species and polymer depositing species is used intermittently.
    Type: Grant
    Filed: August 2, 2002
    Date of Patent: July 11, 2006
    Assignee: Applied Materials, Inc.
    Inventors: Jeffrey D. Chinn, Michael Rattner, Nicholas Pornsin-Sirirak, Yanping Li
  • Patent number: 7052952
    Abstract: A method for forming a wire line by a damascene process includes forming a first insulating layer on a semiconductor substrate, etching the first insulating layer to form a contact hole, and forming a first conductive layer over the first insulating layer that fills the contact hole. The first conductive layer is patterned, and a storage node contact is formed that fills the contact hole and is electrically connected to the semiconductor substrate. A hard mask is formed over the storage node contact and the first insulating layer is etched using the hard mask as an etch mask to form a trench in the first insulating layer. A bit line is formed in the trench that is electrically connected to the semiconductor substrate. A second insulating layer is formed that covers the bit line. The second insulating layer and the hard mask are planarized and a storage node of a capacitor is formed on the storage node contact.
    Type: Grant
    Filed: February 13, 2004
    Date of Patent: May 30, 2006
    Assignee: Samsung Electronics Co., Ltd
    Inventors: In-deog Bae, Chang-jin Kang, Jeong-sic Jeon, Kyeong-koo Chi
  • Patent number: 7033950
    Abstract: A graded junction termination extension in a silicon carbide (SiC) semiconductor device and method of its fabrication using ion implementation techniques is provided for high power devices. The properties of silicon carbide (SiC) make this wide band gap semiconductor a promising material for high power devices. This potential is demonstrated in various devices such as p-n diodes, Schottky diodes, bipolar junction transistors, thyristors, etc. These devices require adequate and affordable termination techniques to reduce leakage current and increase breakdown voltage in order to maximize power handling capabilities. The graded junction termination extension disclosed is effective, self-aligned, and simplifies the implementation process.
    Type: Grant
    Filed: December 19, 2002
    Date of Patent: April 25, 2006
    Assignee: Auburn University
    Inventors: J. Neil Merrett, Tamara Isaacs-Smith, David C. Sheridan, John R. Williams
  • Patent number: 7033953
    Abstract: In the manufacturing method of a GOLD structured TFT having a gate electrode of double-layered structure, in which, compared to a second layer gate electrode, the first layer gate electrode is thinner in film thickness and longer in dimension of the channel direction, by controlling the density of the photo-absorbent contained in a positive type resist, which contains a photo-absorbent of diazonaphthoquinone (DNQ)-novolac resin series, the taper angle of the side wall is controlled to a desired angle range so that the angle thereof becomes smaller. Owing to this, it is possible to control the retreat amount of the resist when carrying out dry etching and the dimension of Lov area to a desired dimensional range so that the dimension thereof becomes larger.
    Type: Grant
    Filed: May 24, 2004
    Date of Patent: April 25, 2006
    Assignee: Semiconductor Energy Laboratory Co., Ltd.
    Inventors: Ichiro Uehara, Hideomi Suzawa
  • Patent number: 7030444
    Abstract: A split gate flash memory cell structure is disclosed for prevention of reverse tunneling. A gate insulator layer is formed over a semiconductor surface and a floating gate is disposed over the gate insulator layer. A floating gate insulator layer is disposed over the floating gate and sidewall insulator spacers are disposed along bottom portions of the floating gate sidewall adjacent to said gate insulator layer. The sidewall insulator spacers are formed from a spacer insulator layer that had been deposited in a manner that constitutes a minimal expenditure of an available thermal budget and etching processes used in fashioning the sidewall insulator spacers etch the spacer insulator layer faster than the gate insulator layer and the floating gate insulator layer. An intergate insulator layer is disposed over exposed portions of the gate insulator layer, the floating gate, the floating gate insulator layer and the sidewall insulator spacers.
    Type: Grant
    Filed: February 25, 2004
    Date of Patent: April 18, 2006
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Kuo-Chi Tu, Wen-Ting Chu, Yi-Shing Chang, Yi-Jiun Lin
  • Patent number: 7012017
    Abstract: Provided are partially etched dielectric films with raised conductive features. Also provided are methods for forming the raised conductive features in the dielectric films, which methods include partially etching the dielectric films.
    Type: Grant
    Filed: March 3, 2004
    Date of Patent: March 14, 2006
    Assignee: 3M Innovative Properties Company
    Inventors: Dennis M. Brunner, David L. Buster, Michael S. Graff, Daniel K. Luebbert, Nathan P. Kreutter, Rui Yang, Guoping Mao
  • Patent number: 6998348
    Abstract: A method for manufacturing semiconductor-integrated electronic circuits includes: depositing an auxiliary layer on a substrate; depositing a layer of screening material on the auxiliary layer; selectively removing the layer of screening material to provide a first opening in the layer of screening material and expose an area of the auxiliary layer; and removing this area of the auxiliary layer to form a second opening in the auxiliary layer, whose cross-section narrows toward the substrate to expose an area of the substrate being smaller than the area exposed by the first opening.
    Type: Grant
    Filed: May 1, 2003
    Date of Patent: February 14, 2006
    Assignee: STMicroelectronics S.r.l.
    Inventors: Francesco Ciovacco, Simone Alba, Roberto Colombo, Chiara Savardi
  • Patent number: 6972263
    Abstract: A semiconductor device having reliable electrode contacts. First, an interlayer dielectric film is formed from a resinous material. Then, window holes are formed. The interlayer dielectric film is recessed by oxygen plasma. This gives rise to tapering window holes. This makes it easy to make contacts even if the circuit pattern is complex.
    Type: Grant
    Filed: April 8, 2004
    Date of Patent: December 6, 2005
    Assignee: Semiconductor Energy Laboratory Co., Ltd.
    Inventors: Shunpei Yamazaki, Takeshi Fukunaga
  • Patent number: 6951822
    Abstract: A method is provided for forming an inside nitride spacer in a deep trench device DRAM cell. The method includes etching a stud from a semiconductor material including a first spacer positioned on the sidewalls of the deep trench, wherein two of the sidewalls are formed of isolation trench oxide. The method further includes depositing an oxide layer on the surface of the semiconductor, and depositing a second spacer in the deep trench of the semiconductor, wherein the second spacer has a positive taper relative to the isolation trench oxide.
    Type: Grant
    Filed: September 28, 2001
    Date of Patent: October 4, 2005
    Assignee: Infineon Technologies North America Corp.
    Inventor: Arnd Scholz
  • Patent number: 6949468
    Abstract: A method of preventing the cathode of an active matrix organic light emitting diode from breaking. A substrate having an array of thin film transistors thereon is provided. Each thin film transistor includes a gate electrode, a channel layer, a source terminal and a drain terminal. A passivation layer is formed over the substrate and then the passivation layer is planarized. Thereafter, an opening that exposes the drain terminal is formed in the passivation layer. An anode layer is formed over the passivation layer and the interior of a portion of the opening so that the drain terminal and the anode layer are electrically connected. A light-emitting layer and a cathode layer are sequentially formed over the substrate to form an active matrix organic light emitting device.
    Type: Grant
    Filed: March 7, 2003
    Date of Patent: September 27, 2005
    Assignee: Au Optronics Corporation
    Inventors: Hsin-Hung Lee, Chih-Hung Su, Yi Sheng Cheng
  • Patent number: 6943120
    Abstract: A method of forming a narrow diameter opening in an insulator layer, featuring a vertical shape profile, has been developed. Using a photoresist shape as an etch mask a first plasma procedure is used to form an initial opening, with a tapered profile shape, in the insulator layer exposing a portion of the top surface of an underlying stop layer. The first plasma procedure results in formation of a thin polymer layer located at the bottom of the initial opening. A second plasma procedure performed in situ, results in deposition of additional polymer layer, comprised of carbon and fluorine, at the bottom of the initial opening. This is followed by a third plasma procedure, performed in situ in an oxygen plasma, removing polymer and releasing fluorine based radicals which etch portions of insulator layer exposed at the bottom of the initial opening, resulting in a final opening featuring a vertical profile shape.
    Type: Grant
    Filed: January 23, 2002
    Date of Patent: September 13, 2005
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Chuan-Chieh Huang, Feng-Yueh Chang, Chi-Lien Lin
  • Patent number: 6939793
    Abstract: A semiconductor device includes a first metallization layer, a first diffusion barrier layer, a second etch stop layer, a first dielectric layer, a first etch stop layer, a second dielectric layer, a trench extending through the second dielectric layer and the first etch stop layer, and a via extending through the first dielectric layer, the second etch stop layer, and the first diffusion barrier layer. The first diffusion barrier layer is disposed over the first metallization layer. The second etch stop layer is disposed over and spaced from the first diffusion barrier layer, and the first dielectric layer is disposed over the second etch stop layer. The via can also have rounded corners. A third etch stop layer can also be disposed between the first diffusion barrier layer and the second etch stop layer. A sidewall diffusion barrier layer can be disposed on sidewalls of the via and trench, and the sidewall diffusion barrier layer is formed from the same material as the first diffusion barrier layer.
    Type: Grant
    Filed: April 25, 2003
    Date of Patent: September 6, 2005
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Lu You, Fei Wang, Christy Woo
  • Patent number: 6936484
    Abstract: An impurity precipitation region is formed by introducing an impurity, e.g., oxygen, into a silicon substrate or a silicon layer and thermally treating it, and performing high selectivity anisotropic etching with the precipitation region used as a micro mask. Thus, a cone (conic body or truncated conic body having an annular leading end) having a very sharp and slender needle shape with an aspect ratio of about 10 and a diameter of about 10 nm to 30 nm in the vicinity of its leading end is obtained with the micro mask used as the top. By forming an insulation layer and a drive electrode such as a gate electrode around the cone, the cone can be used for a field emission device, a single electron transistor, a memory device, a high frequency switching device, a probe of a scanning type microscope or the like.
    Type: Grant
    Filed: July 14, 2003
    Date of Patent: August 30, 2005
    Assignee: Kabushiki Kaisha Toyota Chuo Kenkyusho
    Inventors: Masakazu Kanechika, Kenji Nakashima, Yasuichi Mitsushima, Tetsu Kachi