Plasma Etching Patents (Class 438/9)
  • Patent number: 8680881
    Abstract: An interposer to be mounted with an integrated circuit to be a test object is provided with a switch and a probe to detect an electric current corresponding to individual terminals of the integrated circuit. A test pattern signal is then inputted to the integrated circuit through a test substrate as a switch that is connected to a power supply terminal of the integrated circuit and that is turned off. If the integrated circuit normally operates and the current values of all the terminals of the integrated circuit are within a tolerance, the power supply terminal connected to the turned-off switch is identified as a terminal that may be removed.
    Type: Grant
    Filed: March 10, 2011
    Date of Patent: March 25, 2014
    Inventors: Yutaka Uematsu, Hideki Osaka, Satoshi Nakamura, Satoshi Muraoka, Mitsuaki Katagiri, Ken Iwakura, Yukitoshi Hirose
  • Patent number: 8664060
    Abstract: A semiconductor structure and a method of fabricating the same comprising the steps of providing a substrate, forming at least one fin structure on said substrate, forming a gate covering said fin structure, forming a plurality of epitaxial structures covering said fin structures, performing a gate pullback process to reduce the critical dimension (CD) of said gate and separate said gate and said epitaxial structures, forming lightly doped drains (LDD) in said fin structures, and forming a spacer on said gate and said fin structures.
    Type: Grant
    Filed: February 7, 2012
    Date of Patent: March 4, 2014
    Assignee: United Microelectronics Corp.
    Inventors: An-Chi Liu, Chun-Hsien Lin, Yu-Cheng Tung, Chien-Ting Lin, Wen-Tai Chiang, Shih-Hung Tsai, Ssu-I Fu, Ying-Tsung Chen, Chih-Wei Chen
  • Patent number: 8664040
    Abstract: A method includes performing an etching step on a package. The package includes a package component, a connector on a top surface of the package component, a die bonded to the top surface of the package component, and a molding material molded over the top surface of the package component. The molding material covers the connector, wherein a portion of the molding material covering the connector is removed by the etching step, and the connector is exposed.
    Type: Grant
    Filed: December 20, 2011
    Date of Patent: March 4, 2014
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Chen-Hua Yu, Chung-Shi Liu, Chun-Cheng Lin, Meng-Tse Chen, Ming-Da Cheng
  • Patent number: 8647892
    Abstract: A method for process control is disclosed. The method includes performing an etching process on a semiconductor substrate forming a structure and a test structure having a pattern and a releasing mechanism coupled to the pattern; and monitoring the pattern of the test structure to determine whether the etching process is complete.
    Type: Grant
    Filed: October 19, 2010
    Date of Patent: February 11, 2014
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Kai-Chih Liang, Wen-Chuan Tai, Chun-Ren Cheng
  • Publication number: 20140024143
    Abstract: Disclosed is an in-situ optical monitor (ISOM) system and associated method for controlling plasma etching processes during the forming of stepped structures in semiconductor manufacturing. The in-situ optical monitor (ISOM) can be optionally configured for coupling to a surface-wave plasma source (SWP), for example a radial line slotted antenna (RLSA) plasma source. A method is described to correlate the lateral recess of the steps and the etched thickness of a photoresist layer for use with the in-situ optical monitor (ISOM) during control of plasma etching processes in the forming of stepped structures.
    Type: Application
    Filed: July 18, 2013
    Publication date: January 23, 2014
    Applicant: Tokyo Electron Limited
    Inventors: Shifang LI, Junwei Bao, Hanyou Chu, Wen Jin, Ching-Ling Meng, Weiwen Xu, Ping Wang, Holger Tuitje, Mihail Mihaylov, Xinkang Tian
  • Patent number: 8633116
    Abstract: A dry etching method includes a first step and a second step. The first step includes generating a first plasma from a gas mixture, which includes an oxidation gas and a fluorine containing gas, and performing anisotropic etching with the first plasma on a silicon layer to form a recess in the silicon layer. The second step includes alternately repeating an organic film forming process whereby an organic film is deposited on the inner surface of the recess with a second plasma, and an etching process whereby the recess covered with the organic film is anisotropically etched with the first plasma. When an etching stopper layer is exposed from a part of the bottom surface of the recess formed in the first step, the first step is switched to the second step.
    Type: Grant
    Filed: January 25, 2011
    Date of Patent: January 21, 2014
    Assignee: Ulvac, Inc.
    Inventors: Manabu Yoshii, Kazuhiro Watanabe
  • Patent number: 8628675
    Abstract: Provided is a substrate dechucking system of a plasma processing chamber adapted to remove a substrate from an ESC with reduction in voltage potential spike during dechucking of the substrate.
    Type: Grant
    Filed: October 31, 2012
    Date of Patent: January 14, 2014
    Assignee: Lam Research Corporation
    Inventors: Brian McMillin, Jose V. Tong, Yen-Kun Victor Wang
  • Patent number: 8557613
    Abstract: A method for designing, fabricating, and predicting a desired structure in and/or on a host material through defining etch masks and etching the host material is provided. The desired structure can be micro- or nanoscale structures, such as suspended nanowires and corresponding supporting pillars, and can be defined one layer at a time. Arbitrary desired structures can also be defined and obtained through etching. Further, given the desired structure, a starting structure can be predicted where etching of the starting structure yields the desired structure.
    Type: Grant
    Filed: June 13, 2011
    Date of Patent: October 15, 2013
    Assignee: California Institute of Technology
    Inventors: Michael Shearn, Michael David Henry, Axel Scherer
  • Patent number: 8557612
    Abstract: A method to determine minimum etch mask dosage or thickness as a function of etch depth or maximum etch depth as a function of etch mask implantation dosage or thickness, for fabricating structures in or on a substrate through etch masking via addition or removal of a masking material and subsequent etching.
    Type: Grant
    Filed: June 25, 2010
    Date of Patent: October 15, 2013
    Assignee: California Institute of Technology
    Inventors: Michael David Henry, Michael Shearn, Axel Scherer
  • Patent number: 8546266
    Abstract: The invention provides a plasma processing apparatus and a dry etching method for etching a multilayered film structure having steps with high accuracy. The plasma processing apparatus comprises a vacuum reactor 107, a lower electrode 113 placed within a processing chamber of the vacuum reactor and having a wafer 112 to be etched mounted on the upper surface thereof, bias supplying units 118 and 120 for supplying high frequency power for forming a bias potential to the lower electrode 113, a gas supply means 111 for feeding reactive gas into the processing chamber, an electric field supplying means 101 through 103 for supplying a magnetic field for generating plasma in the processing chamber, and a control unit 127 for controlling the distribution of ion energy in the plasma being incident on the wafer 112 via the high frequency power.
    Type: Grant
    Filed: August 18, 2011
    Date of Patent: October 1, 2013
    Assignee: Hitachi High-Technologies Corporation
    Inventors: Masahito Mori, Naoyuki Kofuji, Naoshi Itabashi
  • Patent number: 8538572
    Abstract: A method for automatically identifying an optimal endpoint algorithm for qualifying a process endpoint during substrate processing within a plasma processing system is provided. The method includes receiving sensor data from a plurality of sensors during substrate processing of at least one substrate within the plasma processing system, wherein the sensor data includes a plurality of signal streams from a plurality of sensor channels. The method also includes identifying an endpoint domain, wherein the endpoint domain is an approximate period within which the process endpoint is expected to occur. The method further includes analyzing the sensor data to generate a set of potential endpoint signatures. The method yet also includes converting the set of potential endpoint signatures into a set of optimal endpoint algorithms. The method yet further includes importing one optimal endpoint algorithm of the set of optimal endpoint algorithms into production environment.
    Type: Grant
    Filed: June 29, 2010
    Date of Patent: September 17, 2013
    Assignee: Lam Research Corporation
    Inventors: Jiangxin Wang, Andrew James Perry, Vijayakumar C Venugopal
  • Patent number: 8518721
    Abstract: A method is provided including depositing a layer of material on a substrate, during deposition of the material, at a predetermined depth, laterally implanting a first dopant and a second dopant in the material, the second dopant being different from the first dopant, etching the material, during etching, detecting the positions and intensities of the first and second dopants, and calculating lateral homogeneity of the material in situ from the intensities of the first and second dopants.
    Type: Grant
    Filed: May 15, 2012
    Date of Patent: August 27, 2013
    Assignee: GLOBALFOUNDRIES Inc.
    Inventors: Dmytro Chumakov, Peter Baars
  • Patent number: 8513135
    Abstract: Methods for reducing line roughness of spacers and other features utilizing a non-plasma and non-wet etch fluoride processing technology are provided. Embodiments of the methods can be used for spacer or line reduction and/or smoothing the surfaces along the edges of such features through the reaction and subsequent removal of material.
    Type: Grant
    Filed: September 27, 2011
    Date of Patent: August 20, 2013
    Assignee: Micron Technology, Inc.
    Inventors: Joseph Neil Greeley, Paul Morgan, Mark Kiehlbauch
  • Patent number: 8501631
    Abstract: A method for controlling a plasma processing system using wafer bias information derived from RF voltage information is proposed. The RF voltage is processed via an analog or digital methodology to obtain peak voltage information at least for each of the fundamental frequencies and the broadband frequency. The peak voltage information is then employed to derive the wafer bias information to serve as a feedback or control signal to hardware/software of the plasma processing system.
    Type: Grant
    Filed: December 7, 2010
    Date of Patent: August 6, 2013
    Assignee: Lam Research Corporation
    Inventors: John C. Valcore, Jr., Henry S. Povolny
  • Patent number: 8492264
    Abstract: A method for forming interconnection levels of an integrated circuit, including the steps of: (a) forming an interconnection level comprising conductive tracks and vias separated by a porous dielectric material; (b) forming, on the interconnection level, a layer of a non-porous insulating material, said layer comprising openings above portions of porous dielectric material; (c) repeating steps (a) and (b) to obtain the adequate number of interconnection levels; and (d) annealing the structure.
    Type: Grant
    Filed: August 1, 2011
    Date of Patent: July 23, 2013
    Assignee: STMicroelectronics (Crolles 2) SAS
    Inventor: Patrick Vannier
  • Patent number: 8486290
    Abstract: There is provided an etching apparatus in which, without setting the information of the substance and the chemical reaction, a small number of representative wavelengths can be selected from a waveform at a lot of wavelengths, and an analysis process of etching data which needs large man-hours can be eliminated to efficiently set the monitoring of the etching.
    Type: Grant
    Filed: November 20, 2009
    Date of Patent: July 16, 2013
    Assignee: Hitachi High-Technologies Corporation
    Inventors: Toshihiro Morisawa, Daisuke Shiraishi, Satomi Inoue
  • Patent number: 8481381
    Abstract: When forming high-k metal gate electrode structures in a semiconductor device on the basis of a basic transistor design, undue exposure of sensitive materials at end portions of the gate electrode structures of N-channel transistors may be avoided, for instance, prior to and upon incorporating a strain-inducing semiconductor material into the active region of P-channel transistors, thereby contributing to superior production yield for predefined transistor characteristics and performance.
    Type: Grant
    Filed: September 14, 2011
    Date of Patent: July 9, 2013
    Assignee: GLOBALFOUNDRIES Inc.
    Inventors: Stephan-Detlef Kronholz, Peter Javorka, Maciej Wiatr
  • Patent number: 8476637
    Abstract: Nanostructure array optoelectronic devices are disclosed. The optoelectronic device may have a top electrical contact that is physically and electrically connected to sidewalls of the array of nanostructures (e.g., nanocolumns). The top electrical contact may be located such that light can enter or leave the nanostructures without passing through the top electrical contact. Therefore, the top electrical contact can be opaque to light having wavelengths that are absorbed or generated by active regions in the nanostructures. The top electrical contact can be made from a material that is highly conductive, as no tradeoff needs to be made between optical transparency and electrical conductivity. The device could be a solar cell, LED, photo-detector, etc.
    Type: Grant
    Filed: June 8, 2010
    Date of Patent: July 2, 2013
    Assignee: Sundiode Inc.
    Inventors: James C. Kim, Sungsoo Yi, Danny E. Mars
  • Publication number: 20130157388
    Abstract: A method and apparatus for etching a photomask substrate with enhanced process monitoring is provided. In one embodiment, a method of determining an etching endpoint includes performing an etching process on a first tantalum containing layer through a patterned mask layer, directing a radiation source having a first wavelength from about 200 nm and about 800 nm to an area uncovered by the patterned mask layer, collecting an optical signal reflected from the area covered by the patterned mask layer, analyzing a waveform obtained the reflected optical signal reflected from the substrate from a first time point to a second time point, and determining a first endpoint of the etching process when a slope of the waveform is changed about 5 percent from the first time point to the second time point.
    Type: Application
    Filed: July 6, 2012
    Publication date: June 20, 2013
    Inventor: Michael Grimbergen
  • Publication number: 20130137195
    Abstract: A method of etching the whole width of a substrate to expose buried features is disclosed. The method includes etching a face of a substrate across its width to achieve substantially uniform removal of material; illuminating the etched face during the etch process; applying edge detection techniques to light reflected or scattered from the face to detect the appearances of buried features; and modifying the etch in response to the detection of the buried feature. An etching apparatus for etching substrate across its width to expose buried is also disclosed.
    Type: Application
    Filed: November 12, 2012
    Publication date: May 30, 2013
    Applicant: SPTS TECHNOLOGIES LIMITED
    Inventor: SPTS TECHNOLOGIES LIMITED
  • Patent number: 8449174
    Abstract: Wafer temperature is measured as a function of time following removal of a heat source to which the wafer is exposed. During the wafer temperature measurements, a gas is supplied at a substantially constant pressure at an interface between the wafer and a chuck upon which the wafer is supported. A chuck thermal characterization parameter value corresponding to the applied gas pressure is determined from the measured wafer temperature as a function of time. Wafer temperatures are measured for a number of applied gas pressures to generate a set of chuck thermal characterization parameter values as a function of gas pressure. A thermal calibration curve for the chuck is generated from the set of measured chuck thermal characterization parameter values and the corresponding gas pressures. The thermal calibration curve for the chuck can be used to tune the gas pressure to obtain a particular wafer temperature during a fabrication process.
    Type: Grant
    Filed: August 17, 2010
    Date of Patent: May 28, 2013
    Assignee: Lam Research Corporation
    Inventors: Keith William Gaff, Neil Martin Paul Benjamin
  • Patent number: 8445296
    Abstract: Methods and apparatus for performing end point determination. A method includes receiving a wafer into an etch tool chamber for performing an RIE etch; beginning the RIE etch to form vias in the wafer; receiving in-situ measurements of one or more physical parameters of the etch tool chamber that are correlated to the RIE etch process; providing a virtual metrology model for the RIE etch in the chamber; inputting the received in-situ measurements to the virtual metrology model for the RIE etch in the chamber; executing the virtual metrology model to estimate the current via depth; comparing the estimated current via depth to a target depth; and when the comparing indicates the current via depth is within a predetermined threshold of the target depth; outputting a stop signal. An apparatus for use with the method embodiment is disclosed.
    Type: Grant
    Filed: July 22, 2011
    Date of Patent: May 21, 2013
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Chien Rhone Wang, Tzu-Cheng Lin, Yu-Jen Cheng, Chih-Wei Lai, Hung-Pin Chang, Tsang-Jiuh Wu
  • Patent number: 8440573
    Abstract: A method is provided for processing a wafer used in fabricating semiconductor devices. The method can comprise forming high-aspect ratio features on the wafer, which is followed by wet processing and drying. During drying, pattern collapse can occur. This pattern collapse can be repaired to allow for additional processing of the wafer. In some instance, pattern collapse can be repaired via etching where the etching breaks bonds that can have formed during pattern collapse.
    Type: Grant
    Filed: January 26, 2010
    Date of Patent: May 14, 2013
    Assignee: Lam Research Corporation
    Inventors: Katrina Mikhaylichenko, Denis Syomin, Qian Fu, Glenn W. Gale, Shenjian Liu, Mark H. Wilcoxson
  • Publication number: 20130071955
    Abstract: A method for processing a substrate to form a desired pattern by an etching process after forming a mask pattern over the substrate includes the steps of: forming two layers over the substrate; measuring a width of the mask pattern or an etched pattern of one of the two layers; and adjusting a flow rate of any one of HBr and other gases, used in the etching process, based on the measured width. The two layers may include a silicon nitride layer and an organic dielectric layer.
    Type: Application
    Filed: September 16, 2011
    Publication date: March 21, 2013
    Applicant: Tokyo Electron Limited
    Inventors: Hiroki Kintaka, Toshihisa Ozu, Masahiko Takahashi
  • Publication number: 20130052757
    Abstract: Methods for optimizing a plasma process are provided. The method may include obtaining a measurement spectrum from a plasma reaction in a chamber, calculating a normalized measurement standard and a normalized measurement spectrum of the measurement spectrum, comparing the normalized measurement spectrum with a normalized reference spectrum, and comparing the normalized measurement standard with a normalized reference standard to determine whether to change a process parameter of the plasma process or clean the chamber when the normalized measurement spectrum and the normalized reference spectrum are mismatched.
    Type: Application
    Filed: August 30, 2012
    Publication date: February 28, 2013
    Applicant: Samsung Electronics Co., Ltd.
    Inventors: Sangwuk Park, Kye Hyun Baek, Kyoungsub Shin, Brad H. Lee
  • Patent number: 8373427
    Abstract: Disclosed herein are systems and methods for in-situ measurement of impurities on metal slugs utilized in electron-beam metal evaporation/deposition systems, and for increasing the production yield of a semiconductor manufacturing processes utilizing electron-beam metal evaporation/deposition systems. A voltage and/or a current level on an electrode disposed in a deposition chamber of an electron-beam metal evaporation/deposition system is monitored and used to measure contamination of the metal slug. Should the voltage or current reach a certain level, the deposition is completed and the system is inspected for contamination.
    Type: Grant
    Filed: July 7, 2010
    Date of Patent: February 12, 2013
    Assignee: Skyworks Solutions, Inc.
    Inventor: Kezia Cheng
  • Publication number: 20130023067
    Abstract: A method is described for improving the uniformity over a predetermined substrate area of a spectral response of photonic devices fabricated in a thin device layer. The method includes (i) establishing an initial device layer thickness map for the predetermined area, (ii) establishing a linewidth map for the predetermined area, and (iii) establishing an etch depth map for the predetermined area. The method further includes, based on the initial device layer thickness map, the linewidth map and the etch depth map, calculating an optimal device layer thickness map and a corresponding thickness correction map for the predetermined substrate area taking into account photonic device design data. Still further, the method includes performing a location specific corrective etch process in accordance with the thickness correction map.
    Type: Application
    Filed: July 18, 2012
    Publication date: January 24, 2013
    Applicant: IMEC
    Inventors: Philippe Absil, Shankar Kumar Selvaraja
  • Patent number: 8358416
    Abstract: A processing system having a chamber for in-situ optical interrogation of plasma emission to quantitatively measure normalized optical emission spectra is provided. The processing chamber includes a confinement ring assembly, a flash lamp, and a set of quartz windows. The processing chamber also includes a plurality of collimated optical assemblies, the plurality of collimated optical assemblies are optically coupled to the set of quartz windows. The processing chamber also includes a plurality of fiber optic bundles. The processing chamber also includes a multi-channel spectrometer, the multi-channel spectrometer is configured with at least a signal channel and a reference channel, the signal channel is optically coupled to at least the flash lamp, the set of quartz windows, the set of collimated optical assemblies, the illuminated fiber optic bundle, and the collection fiber optic bundle to measure a first signal.
    Type: Grant
    Filed: March 8, 2012
    Date of Patent: January 22, 2013
    Assignee: Lam Research Corporation
    Inventors: Vijayakumar C. Venugopal, Eric Pape, Jean-Paul Booth
  • Patent number: 8343779
    Abstract: The invention relates to a method for forming a pattern on a substrate (S) with an upper surface and a lower surface which comprises the steps of depositing a first layer (E1) of an opaque material on the upper surface of the substrate (S), depositing a photosensitive layer (R) such that part of the photosensitive layer (R) covers at least part of the first layer (E1), exposing the photosensitive layer (R) to a light beam (L), the light beam (L) impinging on the lower surface of the substrate (S) under an oblique angle (?) of incidence, removing the exposed region of the photosensitive layer (R), depositing a second layer (E2) of an opaque material such that part of the second layer (E2) covers a remaining region of the photosensitive layer (R), and removing at least a part of the remaining region of the photosensitive layer (R).
    Type: Grant
    Filed: April 10, 2008
    Date of Patent: January 1, 2013
    Assignee: BASF SE
    Inventors: Lukas Bürgi, Reto Pfeiffer, Harald Walter, Adrian Von Mühlenen
  • Publication number: 20120322170
    Abstract: A pinhole inspection method of an insulator layer, wherein the pinhole inspection method comprises steps as following: A dry etching process is firstly performed to remove a contiguous layer adjacent to the insulator layer. Subsequently an etching endpoint is determined and the dry etching process is then stopped in accordance with a second electron energy variation triggered by the dry etching process. Afterward, a cross-sectional morphology or topography of the insulator layer is inspected.
    Type: Application
    Filed: June 14, 2011
    Publication date: December 20, 2012
    Applicant: UNITED MICROELECTRONICS CORP.
    Inventors: Po-Fu CHOU, Chun-Ming Tsai
  • Patent number: 8323521
    Abstract: The invention can provide apparatus and methods of processing a substrate using plasma generation by gravity-induced gas-diffusion separation techniques. By adding or using gases including inert and process gases with different gravities (i.e., ratio between the molecular weight of a gaseous constituent and a reference molecular weight), a two-zone or multiple-zone plasma can be formed, in which one kind of gas can be highly constrained near a plasma generation region and another kind of gas can be largely separated from the aforementioned gas due to differential gravity induced diffusion and is constrained more closer to a wafer process region than the aforementioned gas.
    Type: Grant
    Filed: August 10, 2010
    Date of Patent: December 4, 2012
    Assignee: Tokyo Electron Limited
    Inventors: Jianping Zhao, Lee Chen, Merritt Funk, Toshihisa Nozawa
  • Patent number: 8313665
    Abstract: Showerhead electrode assemblies are disclosed, which include a showerhead electrode adapted to be mounted in an interior of a vacuum chamber; an optional backing plate attached to the showerhead electrode; a thermal control plate attached to the backing plate or to the showerhead electrode at multiple contact points across the backing plate; and at least one thermally and electrically conductive gasket separating the backing plate and the thermal control plate, or the backing plate and showerhead electrode, at the contact points. Methods of processing semiconductor substrates using the showerhead electrode assemblies are also disclosed.
    Type: Grant
    Filed: November 24, 2010
    Date of Patent: November 20, 2012
    Assignee: Lam Research Corporation
    Inventors: Thomas R. Stevenson, Anthony de la Llera, Saurabh Ullal
  • Publication number: 20120288969
    Abstract: An etching apparatus includes a process unit and a control unit. Emission intensity of plasma inside the process unit is obtained by an OES detector, a nonlinear regression analysis is performed by an etching control device to determine a regression formula. The nonlinear regression analysis is performed by using the emission intensity of the plasma obtained until a first time when the emission intensity of the plasma passes a peak, and a second time to be an etching end point is calculated by using the regression formula. The etching end point is calculated as a time when the emission intensity decreases for a predetermined value from the first time. The etching apparatus finishes an etching when the process reaches the etching end point. It is thereby possible to control the etching end point with high-accuracy.
    Type: Application
    Filed: May 11, 2012
    Publication date: November 15, 2012
    Applicant: FUJITSU SEMICONDUCTOR LIMITED
    Inventors: Yoshiyuki Nakao, Kazuo Hashimi
  • Patent number: 8304262
    Abstract: A method for etching features in an etch layer. A conditioning for a patterned pseudo-hardmask of amorphous carbon or polysilicon disposed over the etch layer is provided, where the conditioning comprises providing a fluorine free deposition gas comprising a hydrocarbon gas, forming a plasma from the fluorine free deposition gas, providing a bias less than 500 volts, and forming a deposition on top of the patterned pseudo-hardmask. The etch layer is etched through the patterned pseudo-hardmask.
    Type: Grant
    Filed: February 17, 2011
    Date of Patent: November 6, 2012
    Assignee: Lam Research Corporation
    Inventors: Ben-Li Sheu, Rajinder Dhindsa, Vinay Pohray, Eric A. Hudson, Andrew D. Bailey, III
  • Patent number: 8295966
    Abstract: A method for predicting etch rate uniformity for qualifying health status of a processing chamber during substrate processing of substrates is provided. The method includes executing a recipe and receiving processing data from a first set of sensors. The method further includes analyzing the processing data utilizing a subsystem health check predictive model to determine calculated data, which includes at least one of etch rate data and uniformity data. The subsystem health check predictive model is constructed by correlating measurement data from a set of film substrates with processing data collected during analogous processing of a set of non-film substrates. The method yet also includes performing a comparison of the calculated data against a set of control limits as defined by the subsystem health check predictive model. The method yet further includes generating a warning if the calculated data is outside of the set of control limits.
    Type: Grant
    Filed: June 29, 2010
    Date of Patent: October 23, 2012
    Assignee: Lam Research Corporation
    Inventors: Brian D Choi, Gunsu Yun, Vijayakumar C Venugopal
  • Patent number: 8282744
    Abstract: Disclosed is to supply processing liquid having a predetermined flow rate and concentration to substrate processing units of a substrate processing apparatus with high accuracy. The substrate processing apparatus, which processes substrates in the substrate processing units using the processing liquid supplied from a processing liquid supply part, sequentially carries the substrates to the respective substrate processing units, and controls the processing start time such that if the flow rate of the processing liquid used in one of the substrate processing units is less than the control flow rate that is controllable at the processing liquid supply part, the substrates are carried to the plurality of substrate processing units until a flow rate of the processing liquid reaches the control flow rate that is controllable at the processing liquid supply part and then the processing liquid is used simultaneously in the plurality of the substrate processing units.
    Type: Grant
    Filed: August 19, 2009
    Date of Patent: October 9, 2012
    Assignee: Tokyo Electron Limited
    Inventor: Shigenori Kitahara
  • Patent number: 8274107
    Abstract: In order to link a defect inspection process before forming contact holes with an exposure process for forming the contact holes, a position (physical coordinates) of a defect on a wafer is stored, the defect having been detected in the defect inspection process before forming the contact holes, an exposure (dummy exposure) is performed under the condition that no contact hole is formed on the above-mentioned position. In this method, no contact hole is formed in the region having the defect therein, the cell is considered as a defective one, yet a word line (control gate) and a bit line are not short-circuited through the contact hole, and makes it possible to avoid the short-circuiting by only applying a redundancy to the bit line as conventionally employed.
    Type: Grant
    Filed: August 22, 2007
    Date of Patent: September 25, 2012
    Assignee: Spansion LLC
    Inventor: Reiji Makara
  • Patent number: 8257546
    Abstract: A method and apparatus for monitoring an etch process. The etch process may be monitored using measurement information (e.g., critical dimensions (CD), layer thickness, and the like) provided ex-situ with respect to the etch process in combination with in-situ monitoring (e.g., spectroscopy, interferometry, scatterometry, reflectometry, and the like) performed during the etch process. The ex-situ measurement information in combination with the in-situ monitoring may be used to monitor for example, an endpoint of an etch process, an etch depth profile of a feature formed on a substrate, fault detection of an integrated circuit manufacturing process, and the like.
    Type: Grant
    Filed: September 29, 2003
    Date of Patent: September 4, 2012
    Assignee: Applied Materials, Inc.
    Inventors: Matthew Fenton Davis, John M. Yamartino, Lei Lian
  • Publication number: 20120208302
    Abstract: There is provided a method for manufacturing a SiC semiconductor device achieving improved performance. The method for manufacturing the SiC semiconductor device includes the following steps. That is, a SiC semiconductor is prepared which has a first surface having at least a portion into which impurities are implanted. By cleaning the first surface of the SiC semiconductor, a second surface is formed. On the second surface, a Si-containing film is formed. By oxidizing the Si-containing film, an oxide film constituting the SiC semiconductor device is formed.
    Type: Application
    Filed: February 25, 2011
    Publication date: August 16, 2012
    Applicant: SUMITOMO ELECTRIC INDUSTRIES, LTD.
    Inventors: Satomi Itoh, Hiromu Shiomi, Yasuo Namikawa, Keiji Wada, Mitsuru Shimazu, Toru Hiyoshi
  • Patent number: 8231800
    Abstract: There is provided a plasma processing apparatus including a plasma generating unit for generating a plasma in a processing chamber in which a set processing is performed on a substrate serving as an object to be processed. The plasma processing apparatus further includes a particle moving unit for electrostatically driving particles in a region above the substrate to be removed out of the region above the substrate in the processing chamber while the processing on the substrate is performed by using the plasma. In addition, there is provided a plasma processing method of a plasma processing apparatus including the steps of generating plasma in a processing chamber in which a set processing is performed on a substrate serving as an object to be processed; and performing the processing on the substrate by the plasma.
    Type: Grant
    Filed: January 26, 2009
    Date of Patent: July 31, 2012
    Assignee: Tokyo Electron Limited
    Inventors: Tsuyoshi Moriya, Hiroyuki Nakayama
  • Patent number: 8216865
    Abstract: A display device includes a gate pattern, a semiconductor pattern, a source pattern and a pixel electrode are provided. The gate pattern is formed on a base substrate and includes a gate line and a gate electrode. The semiconductor pattern is formed on the base substrate having the gate pattern and includes an oxide semiconductor. The source pattern is formed from a data metal layer and formed on the base substrate having the semiconductor pattern, and includes a data line, a source electrode and a drain electrode. The data metal layer includes a first copper alloy layer, and a lower surface of the data metal layer substantially coincides with an upper surface of the semiconductor pattern. The pixel electrode is formed on the base substrate having the source pattern and electrically connected to the drain electrode. Thus, manufacturing processes may be simplified, and reliability may be improved.
    Type: Grant
    Filed: May 3, 2010
    Date of Patent: July 10, 2012
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Young-Joo Choi, Woo-Geun Lee, Hye-Young Ryu, Ki-Won Kim
  • Patent number: 8211809
    Abstract: It is intended to produce a semiconductor device with a stable gate length, using an end-point detection process based on monitoring a plasma emission intensity during dry etching for setting a gate length.
    Type: Grant
    Filed: September 1, 2009
    Date of Patent: July 3, 2012
    Assignee: Unisantis Electronics Singapore Pte Ltd.
    Inventors: Fujio Masuoka, Shintaro Arai
  • Patent number: 8202739
    Abstract: A semiconductor device is formed by implanting recess markers in a material during deposition and using the recess markers during etching of the material for precise in-situ removal rate definition and removal homogeneity-over-radius definition. An embodiment includes depositing a layer of material on a substrate, implanting first and second dopants in the material at first and second predetermined times during deposition of the material, etching the material, detecting the depths of the first and second dopants during etching, calculating the removal rate of the material in situ from the depths of the first and second dopants, and determining from the removal rate a stop position for etching.
    Type: Grant
    Filed: November 16, 2010
    Date of Patent: June 19, 2012
    Assignee: GLOBALFOUNDRIES Inc.
    Inventors: Dmytro Chumakov, Peter Baars
  • Patent number: 8198104
    Abstract: A method of manufacturing a semiconductor device on a semiconductor substrate, includes the steps of forming a first metal film on a front surface of the semiconductor substrate; forming a second metal film on the surface of the first metal film; activating a surface of the second metal film to provide an activated surface; and forming a plated film on the activated surface by a wet plating method in a plating bath that includes a reducing agent that is oxidized during plating and that has a rate of oxidation, wherein the second metal film is a metal film mainly composed of a first substance that enhances the rate of oxidation of the reducing agent in the plating bath. Wet plating is preferably an electroless process.
    Type: Grant
    Filed: March 22, 2010
    Date of Patent: June 12, 2012
    Assignee: Fuji Electric Co., Ltd.
    Inventors: Yuichi Urano, Takayasu Horasawa
  • Patent number: 8197705
    Abstract: A method of manufacturing a substrate for a liquid discharge head having a silicon substrate in which a liquid supply port is provided includes providing the silicon substrate, an etching mask layer having an aperture being formed on one surface of the silicon substrate, forming a region comprising an amorphous silicon in the interior of the silicon substrate by irradiating the silicon substrate with laser light, forming a recess, which has an opening at a part of a portion exposed from the aperture on the one surface, from the one surface of the silicon substrate toward the region, and forming the supply port by performing etching on the silicon substrate in which the recess and the region have been formed from the one surface through the aperture of the etching mask layer.
    Type: Grant
    Filed: September 3, 2008
    Date of Patent: June 12, 2012
    Assignee: Canon Kabushiki Kaisha
    Inventors: Keisuke Kishimoto, Hirokazu Komuro, Satoshi Ibe, Takuya Hatsui, Kazuhiro Asai, Shimpei Otaka, Hiroto Komiyama
  • Patent number: 8198103
    Abstract: A chemical composition and method for providing uniform and consistent etching of gate stacks on a semiconductor wafer, whereby the composition includes an etchant and an added ballast gas added. The gate stacks are formed using this combined etchant and ballast gas composition. The ballast gas may either be similar to, or the equivalent of, a gaseous byproduct generated within the processing chamber. The ballast gas is added in either an overload amount, or in an amount sufficient to compensate for varying pattern factor changes across the water. This etchant and added ballast gas form a substantially homogeneous etchant across the entire wafer, thereby accommodating for or compensating for these pattern factor differences. When etching the wafer using this homogeneous etchant, a passivation layer is formed on exposed wafer surfaces. The passivation layer protects the lateral sidewalls of the gate stacks during etch to result in straighter gate stacks.
    Type: Grant
    Filed: July 10, 2008
    Date of Patent: June 12, 2012
    Assignee: International Business Machines Corporation
    Inventors: Timothy J. Dalton, Wesley C. Natzle, Paul W. Pastel, Richard S. Wise, Hongwen Yan, Ying Zhang
  • Patent number: 8173450
    Abstract: Provided is a method for designing an etch stage measurement system involving an etch process for one or more layers on a substrate using an etch process tool. The etch process tool uses two or more metrology devices, at least one etch process sensor device, and a metrology processor, the etch stage measurement system configured to meet two or more etch stage measurement objectives. A correlation algorithm using the etch stage measurements to the actual etch stage data is developed and used to extract etch measurement value. If the set two or more etch stage measurement objectives are not met, the optical metrology devices are modified, a different etch process sensor device is selected, the correlation algorithm is refined, and/or the measurement data is enhanced by adjusting for noise.
    Type: Grant
    Filed: February 14, 2011
    Date of Patent: May 8, 2012
    Assignee: Tokyo Electron Limited
    Inventors: Xinkang Tian, Manuel Madriaga
  • Patent number: 8175736
    Abstract: A processing system and method for chemical oxide removal (COR) is presented, wherein the processing system comprises a first treatment chamber and a second treatment chamber, wherein the first and second treatment chambers are coupled to one another. The first treatment chamber comprises a chemical treatment chamber that provides a temperature controlled chamber, and an independently temperature controlled substrate holder for supporting a substrate for chemical treatment. The substrate is exposed to a gaseous chemistry, such as HF/NH3, under controlled conditions including surface temperature and gas pressure. The second treatment chamber comprises a heat treatment chamber that provides a temperature controlled chamber, thermally insulated from the chemical treatment chamber. The heat treatment chamber provides a substrate holder for controlling the temperature of the substrate to thermally process the chemically treated surfaces on the substrate.
    Type: Grant
    Filed: December 9, 2010
    Date of Patent: May 8, 2012
    Assignee: Tokyo Electron Limited
    Inventors: Masayuki Tomoyasu, Merritt Funk, Kevin A. Pinto, Masaya Odagiri, Lemuel Chen, Asao Yamashita, Akira Iwami, Hiroyuki Takahashi
  • Patent number: 8173451
    Abstract: Provided is a system for measuring an etch stage of an etch process involving one or more layers in a substrate, the etch stage measurement system configured to meet two or more etch stage measurement objectives. The system includes an etch process tool, the etch process tool having an etch chamber, a controller, and process parameters. The etch process tool is coupled to two or more optical metrology devices and at least one etch sensor device measuring an etch process parameter with high correlation to the etch stage. The processor is coupled to the etch process tool and is configured to extract an etch measurement value using a correlation of etch stage measurements to actual etch stage data and etch stage measurement obtained from the two or more metrology devices and the at least one etch process sensor device.
    Type: Grant
    Filed: February 16, 2011
    Date of Patent: May 8, 2012
    Assignee: Tokyo Electron Limited
    Inventors: Xinkang Tian, Manuel Madriaga
  • Patent number: RE43652
    Abstract: In a substrate processing control method, a first process acquires a first-reflectance-spectrum of a beam reflected from the first-fine-structure and a second-reflectance-spectrum of a beam reflected from the second-fine-structure for each of varying-pattern-dimensions of the first-fine-structure when the pattern-dimension of the first-fine-structure is varied. A second process acquires reference-spectrum-data for each of the varying-pattern-dimensions of the first-fine-structure by overlapping the first-reflectance-spectrum with the second-reflectance-spectrum. A third process actually measures beams reflected from the first and the second-fine-structure, respectively, after irradiating light beam on to the substrate and acquiring reflectance-spectrums of the actual-measured beams as actual-measured spectrum data.
    Type: Grant
    Filed: October 21, 2011
    Date of Patent: September 11, 2012
    Assignee: Tokyo Electron Limited
    Inventors: Susumu Saito, Akitaka Shimizu