Buffer Or Repeater Insertion Patents (Class 716/114)
  • Patent number: 8539414
    Abstract: An electronic design automation (EDA) tool alters a user's netlist to provide timing success for distribution of asynchronous signals. Distribution networks are used with the addition of pipeline registers before and/or after the distribution buffer. Or, a tree of pipeline registers is inserted between the asynchronous source and the destination registers. Or, any number of distribution networks are stitched together and pipeline stages may be inserted before and/or after each distribution buffer. Or, beneficial skew is utilized by introducing a delay component that skews a clock signal. The skewed clock signal drives a pipeline register that is inserted before a distribution buffer in order to improve timing margin. Any of various compilation techniques may be used within the EDA tool to solve the problem of distributing high-speed, high-fanout asynchronous signals.
    Type: Grant
    Filed: January 4, 2010
    Date of Patent: September 17, 2013
    Assignee: Altera Corporation
    Inventors: Mark Bourgeault, Ryan Fung, David Lewis
  • Patent number: 8510697
    Abstract: The invention generally relates to systems and methods for modeling I/O simultaneous switching noise, and, more particularly, to systems and methods for modeling I/O simultaneous switching noise in a selected chip window area while accounting for the effect of current sharing among neighbors. A method includes determining a current sharing factor of areas of an integrated circuit (IC) chip package, and determining an offload scaling factor of the IC chip package based upon the current sharing factor and numbers of I/O devices in neighboring areas of the IC chip package.
    Type: Grant
    Filed: April 17, 2012
    Date of Patent: August 13, 2013
    Assignee: International Business Machines Corporation
    Inventors: Erik Breiland, Charles S. Chiu, Prince George
  • Patent number: 8504961
    Abstract: An integrated circuit includes processing circuitry that includes a plurality of critical path circuits. These critical path circuits include variable delay circuits which add an additional delay in to a path delay through each of the critical path circuits so as to adjust the path delay to match a target path delay. Variable delay circuit includes a tank capacitor which is charged or discharged to generate a control voltage. This control voltage serves to control a power supply voltage fed to an inverter chain. Variation in the power supply voltage of the inverter chain adjust the propagation speed of a processing signal through the inverter chain and accordingly adjusts the additional delay imposed by the variable delay circuit.
    Type: Grant
    Filed: May 18, 2011
    Date of Patent: August 6, 2013
    Assignee: ARM Limited
    Inventor: Virgile Javerliac
  • Patent number: 8504966
    Abstract: Reconfiguration methods and devices are disclosed for scan chains with the planned unit taken into consideration, the one method comprising a first phase reconfiguration and second phase reconfiguration. The first phase reconfiguration first classifies a number of scan chains, wherein scan chains with the starting point and the ending point in the same planned unit are classified as a first aggregation of scan chains; scan chains with the starting point and the ending point not in the same planned unit are classified as a second aggregation of scan chains; and scan chains with both the starting point and the ending point at the same top level are classified as a third aggregation of scan chains. In sequence the scan chains within the first aggregation are reconfigured, then the scan chains within the second aggregation are reconfigured, and finally the scan chains within the third aggregation are reconfigured.
    Type: Grant
    Filed: October 27, 2010
    Date of Patent: August 6, 2013
    Assignee: Synopsys (Shanghai) Co., Ltd.
    Inventors: Kunfeng Ge, Bang Liu
  • Patent number: 8495543
    Abstract: Techniques are described for generating asynchronous circuits (e.g., in the form of one or more netlists) for implementation, e.g., in integrated circuitry/chips. Embodiments are directed to asynchronous multi-level domino design template and several variants including a mixture of domino and single-rail data logic. The templates can provide high throughput, low latency, and area efficiency. A multi-level domino template is partitioned into pipeline stages in which each stage consists of potentially multiple-levels of domino logic controlled by a single controller that communicates with other controllers via handshaking. Each stage is composed of two parts: a data path and a control path. The data path implements the computational logic, both combinational and sequential using efficient dual-rail domino logic. The control path implements a unique four-phase handshake to ensure correctness and the preservation of logical dependencies between pipeline stages.
    Type: Grant
    Filed: June 17, 2009
    Date of Patent: July 23, 2013
    Assignees: University of Southern California, Fulcrum Microsystems, Inc.
    Inventors: Georgios Dimou, Peter A. Beerel, Andrew Lines
  • Patent number: 8490039
    Abstract: Methods for allocating spare latch circuits to logic blocks in an integrated circuit design are provided. A method includes determining logic blocks in the design and determining and determining an allocation of spare latch circuits among the logic blocks based on respective attributes of the logic blocks. The method further include placing the spare latch circuits in the design in accordance with the determined allocation based on local clock buffers corresponding with the logic blocks.
    Type: Grant
    Filed: December 9, 2011
    Date of Patent: July 16, 2013
    Assignee: International Business Machines Corporation
    Inventors: Mitesh A. Agrawal, Santosh Balasubramanian, Pradeep N. Chatnahalli, Prasad Shivaram
  • Patent number: 8458634
    Abstract: A method, system, and computer usable program product for latch clustering with proximity to local clock buffers (LCBs) where an algorithm is used to cluster a plurality of latches into a first plurality of groups in an integrated circuit. A number of groups in the first plurality of groups of clustered latches is determined. A plurality of LCBs are added where a number of added LCBs is the same as the number of groups in the first plurality of groups. A cluster radius for a subset of the first plurality of groups of clustered latches is determined, a group in the subset having a cluster radius that is a maximum cluster radius in the subset. The plurality of latches are reclustered into a second plurality of groups responsive to the maximum cluster radius exceeding a radius threshold, the second plurality of groups exceeding the first plurality of groups by one.
    Type: Grant
    Filed: October 27, 2010
    Date of Patent: June 4, 2013
    Assignee: International Business Machines Corporation
    Inventors: Charles Jay Alpert, Zhuo Li, Gi-Joon Nam, David Anthony Papa, Chin Ngai Sze, Natarajan Viswanathan
  • Patent number: 8453090
    Abstract: In an embodiment, a system for optimizing a logic circuit is disclosed. The system is configured to identify an input of a logic circuit cell that violates a timing condition. The input of the logic circuit is coupled to a plurality of logic paths having at least one level of logic. The system is also configured to identify a last node along one of the plurality logic path that violates the timing condition, and insert a buffer at least one node before the last node along the one of the plurality of logic paths that violates the timing condition. The buffer also has a delay optimized to fix the timing condition.
    Type: Grant
    Filed: October 21, 2010
    Date of Patent: May 28, 2013
    Assignee: Global Unichip Corp.
    Inventor: Cheng-Hong Tsai
  • Patent number: 8448114
    Abstract: A method for balancing both edges of a signal of an integrated circuit (IC) design includes defining a virtual cell to have the same geometry as that of a port of the IC design. First and second input pins of the virtual cell are defined for detecting rising and falling edges. The first and second input pin geometries are defined to be the same as that of the corresponding pins of the port. The virtual cell is overlapped with the port so the first and second input pins are connected to the corresponding port network. The first and second input pins are configured as sinks for clock and buffer tree synthesis. An EDA tool identifies the first and second input pins as additional parallel sinks on the port network and balances the rising and falling edges of the signal at the port.
    Type: Grant
    Filed: January 23, 2012
    Date of Patent: May 21, 2013
    Assignee: Freescale Semiconductor, Inc.
    Inventors: Deep Gupta, Puneet Dodeja, Pankaj K. Jha
  • Patent number: 8448123
    Abstract: A method, system and computer program product are provided for implementing enhanced net routing with improved correlation of pre-buffered and post-buffered routes on a hierarchical design of an integrated circuit chip. In initial wiring steps the nets are routed, and then buffers are add along the net route based upon predetermined electrical parameters. Responsive to adding the buffers, distance based constraints are added to the nets. Then the nets that have been modified are rerouted.
    Type: Grant
    Filed: October 22, 2010
    Date of Patent: May 21, 2013
    Assignee: International Business Machines Corporation
    Inventors: Paul G. Curtis, Timothy D. Helvey
  • Patent number: 8438523
    Abstract: In layout design step of the semiconductor integrated circuit manufacturing method, when it is found that the wiring length between an external terminal and an IO block (external terminal I/F circuit) corresponding to the external terminal increases after a floorplan of a circuit including a functional block and the IO block is determined, placement of the IO block is determined such that the IO block is placed close to the external terminal to alleviate constraints on the wiring between the IO block and the external terminal, and timing adjustment circuits whose number is determined according to the wiring length of a bus (or a shared bus) connecting a data transfer circuit and the IO block is inserted into the bus.
    Type: Grant
    Filed: May 27, 2011
    Date of Patent: May 7, 2013
    Assignee: Panasonic Corporation
    Inventors: Daisuke Iwahashi, Masayoshi Tojima, Tokuzo Kiyohara
  • Patent number: 8438518
    Abstract: A device comprises a analysis section for detecting hold errors according to data including the values of the input and output nodes of the FF circuit, and identifying the node in which a hold error has occurred, a determining section for determining insertion of the trailing edge FF or the buffer into hold error sections on the basis of the results of the analysis by the analysis section, a FF insertion section for inserting the FF into a hold error section subjected to position determination so as to insert the trailing edge FF, and connecting a clock line to the FF based on the results of the determining section, and a buffer insertion section for inserting the buffer into the hold error section subjected to the position determination so as to insert the FF based on the results of data of the determining section.
    Type: Grant
    Filed: February 19, 2010
    Date of Patent: May 7, 2013
    Assignee: NEC Corporation
    Inventor: Yuichi Nakamura
  • Patent number: 8423931
    Abstract: A computer-readable recording medium stores a design support program causing a computer to perform: detecting a data path and a clock path corresponding to the data path making up a partial circuit in a circuit-under-design; selecting an object cell from cells on the data path and the clock path detected in the detecting; replacing the object cell selected in the selecting with a cell having a function substantially identical to and characteristics different from the object cell; acquiring a plurality of types of characteristic information related to the partial circuit based on the data path and the clock path after the object cell is replaced in the replacing; determining whether the types of the characteristic information acquired in the acquiring is in violation of restrictions; and outputting a determination result determined in the determining.
    Type: Grant
    Filed: May 23, 2010
    Date of Patent: April 16, 2013
    Assignee: Fujitsu Semiconductor Limited
    Inventors: Aya Sakurai, Yoshio Inoue
  • Patent number: 8423939
    Abstract: Methods, systems, and machine-readable storage medium for logic synthesis that adjust a timing model of a circuit are provided. A first memory element from multiple memory elements of the circuit may be determined, where the first memory element is connected with a first portion of the circuit and is controlled by at least one first control signal. A combinational element within the first portion of the circuit may be determined. The combinational element may include at least one input or output coupled with a second memory element. The second memory element may be controlled by at least one second control signal. The second control signal may be incompatible with the first control signal. A first timing element may be inserted into the circuit at a location connecting the first timing element with the combinational element. A synthesis optimization may be performed utilizing the at least one first timing element.
    Type: Grant
    Filed: April 6, 2010
    Date of Patent: April 16, 2013
    Assignee: Cadence Design Systems, Inc.
    Inventor: Aaron Hurst
  • Patent number: 8413086
    Abstract: Processor pipeline controlling techniques are described which take advantage of the variation in critical path lengths of different instructions to achieve increased performance. By examining a processor's instruction set and execution unit implementation's critical timing paths, instructions are classified into speed classes. Based on these speed classes, one pipeline is presented where hold signals are used to dynamically control the pipeline based on the instruction class in execution. An alternative pipeline supporting multiple classes of instructions is presented where the pipeline clocking is dynamically changed as a result of decoded instruction class signals. A single pass synthesis methodology for multi-class execution stage logic is also described. For dynamic class variable pipeline processors, the mix of instructions can have a great effect on processor performance and power utilization since both can vary by the program mix of instruction classes.
    Type: Grant
    Filed: August 24, 2010
    Date of Patent: April 2, 2013
    Assignee: Altera Corporation
    Inventors: Edwin Franklin Barry, Gerald George Pechanek, Patrick R. Marchand
  • Patent number: 8413104
    Abstract: In an embodiment, a buffer bay is represented with a moveable object that has a location within a unit in a netlist. The location of the moveable object that represents the buffer bay is changed to a new location in the netlist if changing the location improves placement within the unit. In an embodiment, a net weight of a net that connects the moveable object to an artificial pin is considered in determining whether to change the location to the new location. In an embodiment a bounding area that encompasses the location is considered in determining whether to change the location to the new location.
    Type: Grant
    Filed: May 12, 2011
    Date of Patent: April 2, 2013
    Assignee: International Business Machines Corporation
    Inventors: Matthew R. Ellavsky, Sean T. Evans, Timothy D. Helvey, Phillip P. Normand, Jason L. Van Vreede, Bradley C. White
  • Patent number: 8407649
    Abstract: In accordance with one aspect of the invention, a hole is formed within an LE array of a PLD by interrupting the LE array base signal routing architecture such that a hole is left for IP function block to be incorporated. An interface region is provided for interfacing the remaining LE array base signal routing architecture to the IP function block.
    Type: Grant
    Filed: May 10, 2012
    Date of Patent: March 26, 2013
    Assignee: Altera Corporation
    Inventors: Andy L. Lee, Cameron McClintock, Brian Johnson, Richard Cliff, Srinivas Reddy, Christopher Lane, Paul Leventis, Vaughn Timothy Betz, David Lewis
  • Publication number: 20130061193
    Abstract: A method, system and computer program product for implementing enhanced clock tree distributions to decouple across N-level hierarchical entities of an integrated circuit chip. Local clock tree distributions are constructed. Top clock tree distributions are constructed. Then constructing and routing a top clock tree is provided. The local clock tree distributions and the top clock tree distributions are independently constructed, each using an equivalent local clock distribution of high performance buffers to balance the clock block regions.
    Type: Application
    Filed: November 1, 2012
    Publication date: March 7, 2013
    Applicant: International Business Machines Corporation
    Inventor: International Business Machines Corporation
  • Patent number: 8392861
    Abstract: To considerably reduce the cell layout change after timing optimization to reduce the term of layout design by estimating timing and an area after the timing optimization. During the period of initial layout processing using a net list, a timing constraint, a floor plan, a layout library, a timing library, etc., a library for estimating timing/area for estimating the timing and area after the timing optimization is created in advance and whether the timing constraint can be met is estimated. A cell in a path that hardly meets the timing constraint is placed in proximity and conversely, a cell that easily meets the timing constraint is placed at a distance. At this time, an area increase is also estimated so that wiring congestion does not occur.
    Type: Grant
    Filed: March 13, 2011
    Date of Patent: March 5, 2013
    Assignee: Renesas Electronics Corporation
    Inventors: Satoshi Shibatani, Ryoji Ishikawa, Kenta Suto
  • Patent number: 8381159
    Abstract: A design method of a semiconductor integrated circuit sets an area having apices of opposing corners of a position of a start point logic cell and a position of an end point logic cell to a repeater search area, adds free area information to the repeater search area, sets a drive boundary in the repeater search area based on a drive ability of the start point logic cell, searches a repeater candidate that can be arranged in an area of the drive boundary based on the free area information, calculates a delay time from the start point logic cell to the end point logic cell based on delay time information and a coordinate of the repeater candidate that is searched, and determines a repeater arranged between the start point logic cell and the end point logic cell from the repeater candidate based on the delay time that is calculated.
    Type: Grant
    Filed: December 23, 2010
    Date of Patent: February 19, 2013
    Assignee: Renesas Electronics Corporation
    Inventors: Keiichirou Kondou, Hiroyuki Tsuchiya
  • Patent number: 8381160
    Abstract: A method of manufacturing a semiconductor device, including the steps of: acquiring information on a graphic composing a physical layout of a semiconductor integrated circuit; carrying out calculation for a transferred image in the physical layout; carrying out calculation for a signal delay based on the physical layout, and obtaining a wiring not meeting a specification having the signal delay previously set therein; and setting a portion into which a repeater is to be inserted based on at least one result of results obtained from the information on the graphic and calculation for the transferred image, respectively, with respect to the wiring not meeting the specification.
    Type: Grant
    Filed: November 3, 2011
    Date of Patent: February 19, 2013
    Assignee: Sony Corporation
    Inventor: Kyoko Izuha
  • Patent number: 8370783
    Abstract: Systems and methods for interconnect planning which utilize probabilistic methodologies. One embodiment comprises a method for planning interconnect models in an integrated circuit design. Nets and a set of interconnect models that can be used to connect the pins of each net are first defined. For each net, the probability that each interconnect model will be used to connect the pins of the net is evaluated. Tiles in the integrated circuit design are then assigned probabilities indicating the likelihood that each of the interconnect models will traverse the tiles. A map is then generated to indicate probabilistic routing characteristics (e.g., probabilities of wire congestion, interconnect component congestion, power densities, interconnect model usage) based on the probabilities assigned to each of the tiles in the integrated circuit design. The map may then be output (e.g., printed or otherwise displayed) to a user or stored for later use.
    Type: Grant
    Filed: December 3, 2007
    Date of Patent: February 5, 2013
    Assignees: Kabushiki Kaisha Toshiba, International Business Machines Corporation
    Inventors: Taku Uchino, Alvan Ng
  • Patent number: 8370782
    Abstract: A method, system, and computer usable program product for buffer-aware routing in integrated circuit design are provided in the illustrative embodiments. The design has cells, and the circuit includes buffers and wires. A route is received from a set of routes. The route couples a first point in the circuit to a second point in the circuit and including at least one buffer between the first point and the second point. A determination is made whether the route violates a set of hard constraints for a part of the circuit, where the set of hard constraints includes a reach length constraint. In response to the route not violating any hard constraint in the set of hard constraints, the route is selected as a buffer-aware routing solution between the first and the second points in the circuit.
    Type: Grant
    Filed: June 25, 2010
    Date of Patent: February 5, 2013
    Assignee: International Business Machines Corporation
    Inventors: Chuck Alpert, Zhuo Li, Michael David Moffitt, Chin Ngai Sze, Paul G Villarrubia
  • Patent number: 8365121
    Abstract: A method executed by a computer and for designing a semiconductor integrated circuit, includes detecting, from layout data of a semiconductor integrated circuit, a clock path that propagates the clock signal and of which clock buffers are single-gate inverting clock buffers; selecting sequentially data holding elements connected to the detected clock path; identifying an input clock buffer of each selected data holding element; determining whether the identified clock buffer outputs the clock signal according to non-inverting logic or inverting logic, based on the number of gates from the clock source to the clock buffer; replacing, based on a determination result, the data holding element with a first data holding element that takes in data in synchronization with a rising edge of the clock signal or with a second data holding element that takes in data in synchronization with a falling edge of the clock signal; and outputting a replacement result.
    Type: Grant
    Filed: November 14, 2011
    Date of Patent: January 29, 2013
    Assignee: Fujitsu Semiconductor Limited
    Inventor: Kenji Suzuki
  • Patent number: 8365109
    Abstract: In one embodiment, a method of generating a circuit design is provided. For each data terminal connecting a plurality of components in a circuit design, a respective list of dimensions of data used by the data terminal are determined. A plurality of exchange orderings are generated that each indicate an order in which dimensions are exchanged between the lists. For each exchange ordering, dimensions are exchanged between the lists according to the exchange ordering to produce a set of supplemented lists of dimensions. A set of buffers for buffering data between the data terminals are determined based on the supplemented lists of dimensions. Memory requirements are determined for each of the set of buffers. The circuit design is modified to include the one of the determined sets of buffers having a lowest memory requirement.
    Type: Grant
    Filed: June 27, 2012
    Date of Patent: January 29, 2013
    Assignee: Xilinx, Inc.
    Inventors: Thomas P. Perry, Richard L. Walke
  • Patent number: 8352896
    Abstract: Systems and methods for distribution analysis of a stacked-die integrated circuit (IC) are described. The stacked-die integrated circuit includes a primary die, and clock load information for the primary die of the IC is determined. Additionally, a clock load model may be created using the clock load information for the primary die. Clock load information for a second die that is coupled to the primary die may also be determined. The clock load information for the second die may be incorporated into the clock load model to create an enhanced clock load model of the stacked-die IC, which may then be analyzed as if a single-die IC.
    Type: Grant
    Filed: February 28, 2011
    Date of Patent: January 8, 2013
    Assignee: Avago Technologies Enterprise IP (Singapore) Pte. Ltd.
    Inventor: Larry J Thayer
  • Publication number: 20130007685
    Abstract: A user device receives a request to perform an automatic clock insertion operation for an integrated circuit; retrieves location information regarding a group of components, of the integrated circuit, that use a clock signal; deploys a clock mesh based on the location information regarding the group of components; and inserts drop points into the clock mesh; deploys a particular buffer for a particular drop point; maps a component, of the group of components, to the particular buffer; generates a clock box for the particular buffer, where dimensions of the clock box are based on a location of the component; deploys an H-tree for the clock box, where dimensions of the H-tree are proportional to the clock box dimensions; connects the H-tree to the component; and displays or stores clock mesh information, information regarding the group of buffers, information regarding the H-tree, and the location information regarding the group of components.
    Type: Application
    Filed: September 14, 2012
    Publication date: January 3, 2013
    Applicant: JUNIPER NETWORKS, INC.
    Inventor: Khalil SIDDIQUI
  • Patent number: 8347250
    Abstract: A method and apparatus for modifying a synchronous logic network so that the hold slack calculated at all pins is greater than or equal to a user-specified threshold, with the condition that the setup slack at any pin does not become negative or smaller than a user-specified margin. The result is an improved design which is less likely to fail due to a hold time violation. The method and apparatus introduce a limited number of logic cells which helps keep power consumption and design size to a minimum.
    Type: Grant
    Filed: December 22, 2010
    Date of Patent: January 1, 2013
    Assignee: Advanced Micro Devices, Inc.
    Inventors: George A. Gonzalez, Pete J. Hannan, William A. McGee, Vasant Palisetti, Ashok Venkatachar
  • Patent number: 8340946
    Abstract: A computer-readable recording medium stores therein a program causing a computer that accesses a simulator to execute receiving a measured yield distribution that expresses an actually measured yield distribution concerning leak current of a circuit-under-design, and model data for leak current of a cell of the circuit-under-design; providing the simulator with the model data and values for a normal distribution concerning variation components of the leak current of the cell; acquiring the leak current of the circuit-under-design; calculating, based on the acquired leak current, an estimated yield distribution concerning the leak current of the circuit-under-design; calculating values for the normal distribution that minimize error between the measured yield distribution and the estimated yield distribution; setting an initial value to the normal distribution and the calculated values for the normal distribution to the normal distribution; and outputting the estimated yield distribution that is based on the l
    Type: Grant
    Filed: April 20, 2010
    Date of Patent: December 25, 2012
    Assignee: Fujitsu Limited
    Inventor: Katsumi Homma
  • Patent number: 8341578
    Abstract: A clock gater circuit comprises a plurality of transistors having source-drain connections forming a stack between a first node and a supply node. A given logical state on the first node causes a corresponding logical state on an output clock of the clock gater circuit. In one embodiment, a first transistor of the plurality of transistors has a gate coupled to receive an enable input signal. A second transistor is connected in parallel with the first transistor, and has a gate controlled responsive to a test input signal to ensure that the output clock is generated even if the enable input signal is not in an enabled state. In another embodiment, the plurality of transistors comprises a first transistor having a gate controlled responsive to a clock input of the clock gater circuit and a second transistor having a gate controlled responsive to an output of a delay circuit.
    Type: Grant
    Filed: July 14, 2010
    Date of Patent: December 25, 2012
    Assignee: Apple Inc.
    Inventors: Brian J. Campbell, Shaishav Desai, Edgardo F. Klass, Pradeep R. Trivedi, Sridhar Narayanan
  • Patent number: 8341565
    Abstract: A task-based multi-process design synthesis methodology is reproducible, and relies on a plurality of child processes to assist a parent process in performing optimizations on an integrated circuit design. Objects from an integrated circuit design are grouped into subsets and assigned to child processes, with each child process performing a transform on each of the objects in the subset assigned to that child process and determining which of the objects in the subset are candidate objects for which performance of the transform has been successful. Each child process also undoes the transform performed for each object such that the same initial state of the integrated circuit design is used to perform each transform. In addition, the parent process tracks the results of performing the transform by each child process, and applies successful transforms in a controlled sequence.
    Type: Grant
    Filed: December 20, 2010
    Date of Patent: December 25, 2012
    Assignee: International Business Machines Corporation
    Inventors: Anthony D. Drumm, Jagannathan Narasimhan, Lakshmi N. Reddy, Louise H. Trevillyan
  • Publication number: 20120324412
    Abstract: A method for reducing leakage power of an IC during the design of the IC. A cell based IC design is received that includes a plurality of signal paths with positive slack. The positive slack is converted to negative slack by replacing cell instances in the IC design with footprint equivalent variants of the cell instances. The negative slack is converted back to positive slack via an iterative path-based analysis of the IC design. In each iteration, a path is selected that has negative slack and replacement values are computed for cell instances in the path. One or more cell instances in the path are then replaced with variants based on the replacement values.
    Type: Application
    Filed: August 28, 2012
    Publication date: December 20, 2012
    Applicant: SYNOPSYS, INC.
    Inventor: Sridhar Tirumala
  • Patent number: 8332792
    Abstract: An apparatus and a method of generating a flexible ramptime limit for an electronic circuit, a computer program product that performs the same method, and a method of manufacturing an electronic circuit employing a flexible ramptime limit is disclosed. In one embodiment, the method for generating a flexible ramptime limit includes: (1) calculating a frequency based ramptime limit for the electronic circuit, (2) obtaining a library based ramptime limit for the electronic circuit, (3) determining a minimum ramptime limit between the frequency based ramptime limit and the library based ramptime limit and (4) selecting the minimum ramptime limit as the flexible ramptime limit.
    Type: Grant
    Filed: July 14, 2010
    Date of Patent: December 11, 2012
    Assignee: LSI Corporation
    Inventors: Alexander Tetelbaum, Joseph Jamann, Rich Laubhan, Bruce Zahn
  • Patent number: 8332800
    Abstract: A method for identifying and removing redundant signal paths includes determining whether a given input to a logic circuit is coupled to both an input of a cone of logic of a data input of a clocked state element and a clock gate circuit that is coupled to disable a clock input to the clocked state element. The method may include removing the given input from the cone of logic such that the given input is no longer coupled to the input of the cone of logic responsive to determining that the given input is coupled to both the input of the cone of logic and the clock gate circuit. The method may include preserving the given input to the clock gate circuit such that the given input continues to be coupled to the clock gate circuit after being removed from the input of the cone of logic.
    Type: Grant
    Filed: June 3, 2011
    Date of Patent: December 11, 2012
    Assignee: Apple Inc.
    Inventor: Ben D. Jarrett
  • Patent number: 8332793
    Abstract: Techniques for placement of integrated circuit elements include global placement, detailed placement, timing closure, and routing. The integrated circuit is described by a netlist specifying interconnections of morphable devices. The detailed placement uses, for example, Simultaneous Dynamical Integration, wherein the morphable-devices correspond to nodes influenced by forces, including timing forces. The timing forces are derived, for example, from a timing graph; path delay; slack; and drive resistance of the elements. The timing closure uses timing-driven buffering and timing-driven resizing to reduce maximum delay and/or transition time, and/or to fix hold time. Nets having high capacitance and/or fanout, and timing critical nets are preferentially processed. Timing-driven buffering applies buffering solutions to segments of route trees, combines solutions of adjoining segments, and prunes sets of solutions. Timing-driven resizing morphably replaces selected elements with upsized versions thereof.
    Type: Grant
    Filed: May 18, 2007
    Date of Patent: December 11, 2012
    Assignee: Otrsotech, LLC
    Inventor: Subhasis Bose
  • Patent number: 8316334
    Abstract: A method of reducing the number of hold violations in an integrated circuit comprises: determining a segment, wherein the segment is a connection between a plurality of points; associating at least one path with each segment, wherein the path is a connection of points including a starting point and an endpoint; determining a weight for at least one said segment, wherein the weight is determined by a number of paths associated with the at least one said segment; ranking the segments in a matrix based upon the determined weight associated with at least one of the segments; and inserting a buffer at least one of the segments based upon said ranking.
    Type: Grant
    Filed: February 4, 2010
    Date of Patent: November 20, 2012
    Assignee: QUALCOMM Incorporated
    Inventors: Kelageri Nagaraj, Satish K. Raj, Venugopal Sanaka, Raghavendra C. Dasegowda
  • Patent number: 8312403
    Abstract: A method that achieves convergence of a hold time error in a relatively easy way without causing a setup time error even when the hold time error occurs in a large circuit, a device and a computer-readable storage medium storing a program therefor are provided. Group a first error path and a second error path in error paths which a hold time error occurs if there is a sharing path that shares its start point with the first error path and also shares its end point with the second error path, and insert a delay element without causing a setup time error per the grouped error paths. Convergence of a hold time error can be achieved without taking into account of a node that is not included in the group and there is no worry about causing a setup time error in a path that is not included in the group.
    Type: Grant
    Filed: September 21, 2009
    Date of Patent: November 13, 2012
    Assignee: Renesas Electronics Corporation
    Inventor: Kazuyuki Irie
  • Patent number: 8307316
    Abstract: A method is provided that includes: determining a minimum clock cycle that can be used to propagate a signal about the critical cycle in a circuit design; wherein the critical cycle is a cycle in the design that has a highest proportionality of delay to number of registers; determining for a circuit element in the circuit design, sequential slack associated with the circuit element; wherein the sequential slack represents a minimum delay from among respective maximum delays that can be added to respective structural cycles of which the circuit element is a constituent, based upon the determined limit upon clock cycle duration; using the sequential slack to ascertain sequential optimization based design flexibility throughout multiple stages of a design flow.
    Type: Grant
    Filed: March 21, 2011
    Date of Patent: November 6, 2012
    Assignee: Cadence Design Systems, Inc.
    Inventors: Christoph Albrecht, Philip Chong, Andreas Kuehlmann, Ellen Sentovich, Roberto Passerone
  • Patent number: 8302054
    Abstract: Methods and apparatuses for retiming of multirate system for clock period minimization with a polynomial time without sub-optimality. In an embodiment, a normalized factor vector for the nodes of multirate graph is introduced, allowing the formulation of the multirate graph retiming constraints to a form similar to a single rate graph. In an aspect, the retiming constraints are formulated to allowed the usage of linear programming methodology instead of integer linear programming, thus significantly reducing the complexity of the solving algorithm. The present methodology also uses multirate constraints, avoiding unfolding to single rate equivalent, thus avoiding graph size increase. In a preferred embodiment, the parameters of the multirate system are normalized to the normalized factor vector, providing efficient algorithm in term of computational time and memory usage, without any sub-optimality.
    Type: Grant
    Filed: September 16, 2011
    Date of Patent: October 30, 2012
    Assignee: Synopsys, Inc.
    Inventors: Mustafa Ispir, Levent Oktem
  • Patent number: 8285524
    Abstract: A simulation method includes determining a relationship between stress time and a degradation rate of drain current on a basis of a table in which data of a lifetime of a transistor, or the degradation rate of the transistor, is written, and calculating an amount of change in drain current accordance with the degradation rate, using a table in which information indicating a change in the drain current, being dependent on voltage, is written, based on actually measured data of drain current of the transistor after degradation, drain current in an initial state of a particular transistor model, and the relationship between stress time and the degradation rate of drain current.
    Type: Grant
    Filed: October 29, 2009
    Date of Patent: October 9, 2012
    Assignee: Elpida Memory, Inc.
    Inventors: Yasuhiro Namba, Peter Lee
  • Patent number: 8281276
    Abstract: A method for manufacturing a semiconductor integrated circuit includes: generating first data by performing floor planning based on semiconductor integrated circuit information and monitor path circuit information; generating second data by arranging at least one monitor path flip-flop and at least one monitor path circuit element in the first data based on monitor path position information; generating third data by performing arrangement or wiring based on the second data; generating a first timing analysis result by performing timing analysis on data corresponding to the semiconductor integrated circuit information of the third data; generating a second timing analysis result by performing timing analysis on data corresponding to the monitor path circuit information of the third data; modifying the semiconductor integrated circuit information by comparing the first timing analysis result with the second timing analysis result; and manufacturing the semiconductor integrated circuit based on the modified semi
    Type: Grant
    Filed: July 12, 2010
    Date of Patent: October 2, 2012
    Assignee: Fujitsu Semiconductor Limited
    Inventor: Nobuaki Nonaka
  • Patent number: 8271922
    Abstract: A system and method for clock optimization to achieve timing signoff in an electronic circuit and an EDA tool that embodies the system or the method. In one embodiment, the system includes: (1) a clock cell identifier/sorter configured to identify at least some clock cells in a clock network associated with an electronic circuit design and sort the cells according to breadth, (2) a slack analyzer associated with the clock cell identifier/sorter and configured to identify flops that are downstream of the cells and determine a worst setup and hold timing slack thereof and (3) a clock cell delay adjuster associated with the slack analyzer and configured to adjust delays of the cells subject to the worst setup and hold timing slack.
    Type: Grant
    Filed: April 14, 2009
    Date of Patent: September 18, 2012
    Assignee: LSI Corporation
    Inventors: Bruce E. Zahn, Gerard M. Blair
  • Patent number: 8266566
    Abstract: Spare cells are placed in an IC design using stability values associated with logic cones of the design. A desired spare cell utilization rate is assigned to a cone based on its stability value, and an actual spare cell utilization rate for the cone bounding box is calculated. If the actual utilization rate is less than the desired utilization rate, additional spare cells are inserted as needed to attain the desired utilization rate. The stability value is provided by a logic or circuit designer, or derived from historical information regarding the logic cone in a previous design iteration. Spare cells are placed for each logic cone in the design until a global spare cell utilization target is exceeded. The spare cell placement method can be an integrated part of a placement directed synthesis which is followed by early mode padding and design routing.
    Type: Grant
    Filed: September 10, 2010
    Date of Patent: September 11, 2012
    Assignee: International Business Machines Corporation
    Inventors: Jeremy T. Hopkins, Julie A. Rosser, Samuel I. Ward
  • Patent number: 8261222
    Abstract: Using fabrication-time variation predicting means that predicts this fact, the variation is predicted beforehand at the design stage prior to fabrication and is stored in variation prediction storage means. Rather than measuring a delay, testing an operation is performed (by a pass/fail determination) by actual-speed logic operation testing means for checking, after fabrication, whether a flip-flop (FF) operates at a specified operation frequency. As a result, the variation is estimated using the non-operation flip-flop (FF) information and the predicted value of the variation from the fabrication-time variation predicting means, and a delay value which corrects for the variation is inserted into a fabricated semiconductor integrated circuit by post-fabrication delay insertion position/value determining means using the variation value that has been estimated.
    Type: Grant
    Filed: November 17, 2008
    Date of Patent: September 4, 2012
    Assignee: NEC Corporation
    Inventor: Yuichi Nakamura
  • Publication number: 20120221993
    Abstract: An integrated circuit (IC) chip having repeaters for propagating signals along relatively long wires that extend between and among lower-level physical blocks of the IC chip, wherein the repeaters are implemented as clocked flip-flops (or “repeater flops”). A method for automatically inserting and allocating such repeater flops during the logical and physical design of the IC chip is also provided.
    Type: Application
    Filed: April 30, 2012
    Publication date: August 30, 2012
    Applicant: Advanced Micro Devices, Inc.
    Inventors: Stuart A. Taylor, Victor Ma, Bharat Patel
  • Patent number: 8255196
    Abstract: A system and method for constructing a clock tree based on replica stages is described. The system and method may comprise determining a size of an input buffer for driving a load capacitance of the output buffer based on a fanout, determining a wire width and a wire length based on the size of the output buffer, the fanout and a replica stage mathematical model, and connecting the output buffer and the corresponding input buffer to a conductor routed on one or more predetermined metal layers and having the wire length and the wire width. The conductor is placed within ground shields having a fixed width.
    Type: Grant
    Filed: August 25, 2008
    Date of Patent: August 28, 2012
    Assignee: Fujitsu Limited
    Inventors: William W. Walker, Subodh M. Reddy, Ranjeez Murgai
  • Patent number: 8255851
    Abstract: Aspects of the disclosure provide a hold violation correction method that can save design time. The method can be integrated into early stages of electric circuit design instead of correcting hold violations during the final stages of circuit design prior to tape-out. The method can include constructing block-level input/output (IO) timing models for a plurality of circuit blocks that are interconnected, detecting one or more paths between the circuit blocks, adding one or more delay elements on the paths to cause that delays on the paths are within a predetermined delay target range.
    Type: Grant
    Filed: June 24, 2009
    Date of Patent: August 28, 2012
    Assignee: Marvell Israel (M.I.S.L) Ltd.
    Inventors: Anan Baransy, Yaniv Uliel
  • Patent number: 8225262
    Abstract: A method of placing clock circuits in an integrated circuit is disclosed. The method comprises receiving a circuit design to be implemented in the integrated circuit; identifying portions of the circuit design comprising clock circuits; determining an order of clock circuits to be placed based upon resource requirements of the clock circuits; and placing the portions of the circuit design comprising clock circuits in sites of the integrated circuit. A system for placing clock circuits in an integrated circuit is also disclosed.
    Type: Grant
    Filed: March 18, 2009
    Date of Patent: July 17, 2012
    Assignee: Xilinx, Inc.
    Inventors: Marvin Tom, Wei Mark Fang, Srinivasan Dasasathyan
  • Publication number: 20120167030
    Abstract: A method and apparatus for modifying a synchronous logic network so that the hold slack calculated at all pins is greater than or equal to a user-specified threshold, with the condition that the setup slack at any pin does not become negative or smaller than a user-specified margin. The result is an improved design which is less likely to fail due to a hold time violation. The method and apparatus introduce a limited number of logic cells which helps keep power consumption and design size to a minimum.
    Type: Application
    Filed: December 22, 2010
    Publication date: June 28, 2012
    Applicant: Advanced Micro Devices, Inc.
    Inventors: George A. Gonzalez, Pete J. Hannan, William A. McGee, Vasant Palisetti, Ashok Venkatachar
  • Patent number: 8209648
    Abstract: Certain embodiments of the present invention enable comparisons between constrained circuit designs by generating timing graphs for circuit designs, mapping timing constraints to the timing graphs, and comparing the mapped timing constraints from different timing graphs. Typically this comparison is made by identifying corresponding nodes in two or more timing graphs. Specific embodiments are also directed to multiple SDC (Synopsis Design Constraint) constraint specifications for a circuit and multiple constraint sets for different operational modes of a circuit.
    Type: Grant
    Filed: September 3, 2009
    Date of Patent: June 26, 2012
    Assignee: Cadence Design Systems, Inc.
    Inventors: Shan-Chyun Ku, Marcelo Glusman, Yee-Wing Hsieh, Manish Pandey, Angela Krstic, Sarath Kirihennedige