Placement Or Layout Patents (Class 716/119)
  • Patent number: 9846759
    Abstract: A method of global connection routing includes determining a global connection tolerance of a cell for use in a circuit layout, wherein the cell comprises a plurality of pins, and a plurality of routing tracks are defined with respect to the cell. The method further includes determining a number of blocked tracks within the cell. The method further includes comparing the global connection tolerance with the number of blocked tracks. The method further includes adjusting a location of the cell within the circuit layout if the global connection tolerance and the number of blocked tracks fail to satisfy a predetermined condition.
    Type: Grant
    Filed: July 30, 2015
    Date of Patent: December 19, 2017
    Assignee: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD.
    Inventors: Sheng-Hsiung Chen, Jyun-Hao Chang, Ting-Wei Chiang, Fong-Yuan Chang, I-Lun Tseng, Po-Hsiang Huang
  • Patent number: 9805328
    Abstract: A computing device translates each of a group of structured language graphical process flow element representations, that each represents within a structured language one node of a captured graphical process flow diagram of a first business process, into one of a group of numerical strings that each represents within a set of data fields the respective node and connections to and from the respective node. The group of numerical strings is sequenced in accordance with values of the respective data fields within each numerical string that represents the respective node and the connections to and from each represented node of the captured graphical process flow diagram of the first business process.
    Type: Grant
    Filed: July 29, 2015
    Date of Patent: October 31, 2017
    Assignee: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Shravan K. Kudikala, Amar A. Shah, Swikar K. Sugandhi
  • Patent number: 9712054
    Abstract: A design verification system simulates operation of an electronic device to identify one or more power characteristic vs. temperature (PC-T) curves for the electronic device. Each of the one or more PC-T curves indicates, for a particular reliability characteristic limit, a range of power characteristic values over a corresponding range of temperatures that are not expected to result in the reliability characteristic limit being exceeded. Based on the one or more PC-T curves, the design verification system sets a range of power characteristic limits, over a corresponding range of temperatures, for the electronic device. During operation, the electronic device employs a temperature sensor to measure an ambient or device temperature, and sets its power characteristic (voltage or current) according to the measured temperature and the power characteristic limits.
    Type: Grant
    Filed: October 28, 2014
    Date of Patent: July 18, 2017
    Assignee: NXP USA, INC.
    Inventors: Mehul D. Shroff, Xavier Hours
  • Patent number: 9697315
    Abstract: A method comprises receiving, in a computer, an input indicative of a drawing of at least a portion of at least one layer of a semiconductor device. The at least one portion of the at least one layer is compared to corresponding portions in corresponding layers of a plurality of previously defined devices stored in a non-transitory machine readable storage medium. Each layer of at least one of the plurality of previously defined devices for which the corresponding portion in the corresponding layer matches the at least one portion of the at least one layer of the semiconductor device is displayed on a display device.
    Type: Grant
    Filed: September 30, 2014
    Date of Patent: July 4, 2017
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Ya-Min Zhang, Peng-Sheng Chen, Mu-Jen Huang, Ming Feng
  • Patent number: 9698056
    Abstract: A method of manufacturing a semiconductor device includes providing pre-conductive lines and post-conductive lines for forming a first logic cell and a second logic cell, which are adjacent to each other, and a dummy cell and a third logic cell, which are adjacent to each other. A first conductive line, adjacent to the second logic cell, from among conductive lines of the first logic cell is spaced a first reference distance apart from a second conductive line, adjacent to the first logic cell, from among conductive lines of the second logic cell. A dummy line, which is adjacent to the third logic cell, from among conductive lines of the dummy cell is spaced a second reference distance apart from a third conductive line, which is adjacent to the dummy cell, from among conductive lines of the third logic cell. The second reference distance is greater than the first reference distance.
    Type: Grant
    Filed: April 8, 2016
    Date of Patent: July 4, 2017
    Assignee: SAMSUNG ELECTRONICS., LTD.
    Inventors: Ha-Young Kim, Jin Tae Kim, Jae-Woo Seo, Dong-yeon Heo
  • Patent number: 9690892
    Abstract: A layout design usable for manufacturing a standard cell includes a first gate pad layout pattern, a first set of channel structure layout patterns overlapping the first gate pad layout pattern, a second gate pad layout pattern, and a second set of channel structure layout patterns overlapping the second gate pad layout pattern. The first gate pad layout pattern extends along a first direction. The second gate pad layout pattern extends along a second direction. The first set of channel structure layout patterns is arranged into a first number of columns each aligned along the first direction. The second set of channel structure layout patterns is arranged into a second number of columns each aligned along the first direction. The first number and the second number are different.
    Type: Grant
    Filed: July 14, 2014
    Date of Patent: June 27, 2017
    Assignee: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD.
    Inventors: Ting-Wei Chiang, Shun Li Chen, Yi-Hsun Chiu, Li-Chun Tien
  • Patent number: 9646960
    Abstract: A system-on-chip device may include a substrate with an active pattern, a gate electrode crossing the active pattern and extending in a first direction, and a first metal layer electrically connected to the active pattern and the gate electrode. The first metal layer may include a first metal line extending in the first direction and a second metal line spaced apart from the first metal line in the first direction to extend in a second direction crossing the first direction. The first and second metal lines may include first and second sidewalls parallel to the second direction, the first and second sidewalls may face each other, and the first sidewall may have a length that is two or three times a minimum line width.
    Type: Grant
    Filed: February 17, 2016
    Date of Patent: May 9, 2017
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Sanghoon Baek, Jung-Ho Do, Taejoong Song, Giyoung Yang, Seungyoung Lee, Jinyoung Lim
  • Patent number: 9632498
    Abstract: A computer-implemented system and method of compensating for filling material losses in a semiconductor process. The computer-implemented method includes determining using a computer a pattern density difference between a first circuit pattern above a semiconductor substrate and a second circuit pattern adjacent to the first pattern. A dummy pattern is inserted between the first pattern and the second pattern so as to compensate for an estimated loss of filling material induced during electrochemical plating by the pattern density difference exceeding a threshold pattern density difference.
    Type: Grant
    Filed: April 25, 2013
    Date of Patent: April 25, 2017
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Chih-Yi Chang, Liang-Yueh Ou Yang, Chen-Yuan Kao, Hung-Wen Su
  • Patent number: 9626474
    Abstract: Aspects of the disclosed technology relate to techniques for determining expanded canonical forms of layout patterns. Coordinates of vertices of geometric elements in a window of a layout design are first transformed into new coordinates of the vertices, wherein the coordinates of vertices do not comprise clipped coordinates and the transforming comprises: performing a translation on the coordinates of vertices based on differences between maximum and minimum X/Y coordinate values of the vertices. Based on sums of X/Y coordinate values of the new coordinates of the vertices, a canonical form of the geometric elements is determined. The canonical form coordinates of the vertices for a plurality of windows may then be determined. The plurality of windows comprise the window, are centered in the same location as the window, and have different sizes.
    Type: Grant
    Filed: July 1, 2015
    Date of Patent: April 18, 2017
    Assignee: Mentor Graphics Corporation
    Inventor: Wu-Tung Cheng
  • Patent number: 9529955
    Abstract: A layout of a portion of an integrated circuit includes first and second cell structures, each including a first or second dummy gate electrode disposed on a first or second boundary of the corresponding first or second cell structure, a first or second edge gate electrode disposed adjacent to the corresponding first or second dummy gate electrode, and a first or second oxide definition (OD) region having a first or second edge. The second boundary faces the first boundary without abutting the first boundary. The first edge of the first OD region is substantially aligned with the closest edge of the first dummy gate electrode or overlaps the first dummy gate electrode. A distance from the first edge gate electrode to the farthest edge of the first dummy gate electrode is greater than the distance from the first edge gate electrode to the first edge of the first OD region.
    Type: Grant
    Filed: December 19, 2013
    Date of Patent: December 27, 2016
    Assignee: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD.
    Inventors: Chan-Hong Chern, Fu-Lung Hsueh, Li-Chun Tien
  • Patent number: 9524363
    Abstract: An improved circuit design system may include a computer processor to perform a placement for a circuit by physical synthesis. The system may also include a controller to compute a preferred location of at least one selected element of the circuit, and to calculate placement constraints for each selected element. The system may further include an updated design for the circuit generated by performing another round of physical synthesis with the placement constraints.
    Type: Grant
    Filed: May 31, 2012
    Date of Patent: December 20, 2016
    Assignee: GLOBALFOUNDRIES INC.
    Inventors: Charles J. Alpert, Gi-Joon Nam, Chin Ngai Sze, Paul G. Villarrubia, Natarajan Viswanathan
  • Patent number: 9519743
    Abstract: Circuitry may include a substrate with an input and an output circuit coupled in series at an intermediate node. The output circuit may have an output transistor and a stack transistor coupled in series between an output node and a voltage supply terminal. The two circuits may be placed on the substrate such that a single event transient charge injected into a sensitive diffusion of the intermediate node is shared through the substrate with the sensitive diffusion of the stack transistor of the output circuit. The charge sharing may reduce the recovery time at the output node and help to reduce the recovery time at the intermediate node, thereby providing increased single event transient robustness and reducing the probability of a permanent flip of the intermediate node and the output node of the circuitry.
    Type: Grant
    Filed: January 31, 2014
    Date of Patent: December 13, 2016
    Assignee: Altera Corporation
    Inventors: Nelson Joseph Gaspard, Yanzhong Xu
  • Patent number: 9514264
    Abstract: Layouts of transmission gates and related techniques and systems are described. An integrated circuit may include first and second transmission gates disposed in a column, and metal wires. The first transmission gate includes first and second control terminals, and the second transmission gate includes first and second control terminals. The metal wires extend between the first and second transmission gates in a direction substantially orthogonal to the column, and include a first control wire coupled to the first control terminals of the first and second transmission gates.
    Type: Grant
    Filed: January 5, 2016
    Date of Patent: December 6, 2016
    Assignee: Bitfury Group Limited
    Inventor: Valerii Nebesnyi
  • Patent number: 9424386
    Abstract: Generating place and route abstracts, including: for each of a plurality of cells, generating a wire diagram; for each generated wire diagram, generating, in dependence upon a cell architecture layout, a cell architecture description; for each cell architecture description: generating, in dependence upon the wire diagrams and the cell architecture descriptions, a blockage map specifying locations where the placement of cells or routing structures is prohibited; and generating, in dependence upon the blockage maps and one or more design rules, a library exchange format (‘LEF’) abstract.
    Type: Grant
    Filed: November 20, 2014
    Date of Patent: August 23, 2016
    Assignee: International Business Machines Corporation
    Inventors: Albert M. Chu, Lars W. Liebmann
  • Patent number: 9417760
    Abstract: Techniques for automatically completing a partially completed UI design created by a user are described. A UI query including attributes of UI components in the partially completed UI design is created. Example designs with similar UI components are identified. UI components of one such design example are displayed to automatically complete the partially completed UI design (also called an “auto-complete suggestion”). The user can systematically navigate the design examples and accept auto-completed suggestions to include into the partially complete UI design.
    Type: Grant
    Filed: April 12, 2013
    Date of Patent: August 16, 2016
    Assignee: Google Inc.
    Inventors: Yang Li, Tsung-Hsiang Chang
  • Patent number: 9395718
    Abstract: A method incorporating an antenna and RF circuitry into the object acting as a substrate includes modeling the object as a three-dimensional object, and designing the antenna and RF circuitry for direct placement on the surface of the object. The step of designing is at least partially based on the size, three-dimensional shape, and material properties of the surface of the object acting as the substrate. The step of designing is preferably performed through use of an evolutionary optimizer implemented using parallel computing devices.
    Type: Grant
    Filed: June 5, 2006
    Date of Patent: July 19, 2016
    Assignee: Sciperio, Inc.
    Inventors: Kenneth H. Church, Robert M. Taylor, Michael J. Wilhelm, Hao Dong, Yanzhong Li
  • Patent number: 9390221
    Abstract: A system and a method are disclosed for displaying an output of a static timing analysis. A plurality of timing violations of an integrated circuit is identified. The timing violations are associated with a timing path. A reason is identified for each of the timing violations. A priority for fixing the timing violations is determined. Information describing the timing violations is sent for being presented. The information presented includes an information indicating priority associated with timing violations to assist developers in prioritizing tasks for fixing the timing violations.
    Type: Grant
    Filed: September 19, 2014
    Date of Patent: July 12, 2016
    Assignee: Synopsys, Inc.
    Inventors: Nahmsuk Oh, Seungwhun Paik, Jia Wang
  • Patent number: 9384307
    Abstract: A method for creating double patterning compliant integrated circuit layouts is disclosed. The method allows patterns to be assigned to different masks and stitched together during lithography. The method also allows portions of the pattern to be removed after the process.
    Type: Grant
    Filed: August 20, 2013
    Date of Patent: July 5, 2016
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Chin-Hsiung Hsu, Huang-Yu Chen, Chung-Hsing Wang
  • Patent number: 9360750
    Abstract: Among other things, techniques for balancing mask loading are provided for herein. In some embodiments, one or more windows are defined within a layout. Based upon polygons comprised within respective windows, a localized mask loading is computed for the layout. In some embodiments, a global mask loading is also computed for the layout. Using the localized mask loading and the global mask loading, if computed, a loading effect of a plurality of mask pattern schemes is evaluated to identify a mask pattern scheme having a desired loading effect.
    Type: Grant
    Filed: June 9, 2014
    Date of Patent: June 7, 2016
    Assignee: Taiwan Semiconductor Manufacturing Company Limited
    Inventors: HungLung Lin, Chin-Chang Hsu, Wen-Ju Yang
  • Patent number: 9355205
    Abstract: An apparatus includes a first tier and a second tier. The second tier is above the first tier. The first tier includes a first cell. The second tier includes a second cell and a third cell. The third cell includes a first ILV to couple the first cell in the first tier to the second cell in the second tier. The third cell further includes a second ILV, the first ILV and the second ILV are extended along a first direction. The first tier further includes a fourth cell. The second tier further includes a fifth cell. The second ILV of the third cell is arranged to connect the fourth cell of the first tier with the fifth cell of the second tier. In some embodiments, the second tier further includes a spare cell including a spare ILV for ECO purpose.
    Type: Grant
    Filed: December 20, 2013
    Date of Patent: May 31, 2016
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Chi-Wen Chang, Hui Yu Lee, Ya Yun Liu, Jui-Feng Kuan, Yi-Kan Cheng
  • Patent number: 9256706
    Abstract: A computer-implemented method for generating a layout of a design includes invoking the computer to receive a schematic representation of the design, generating a connection graph associated with the design, comparing the connection graph with a plurality of connection graphs stored in a database and selecting a layout associated with the matching connection graph in generating the layout of the design.
    Type: Grant
    Filed: September 3, 2014
    Date of Patent: February 9, 2016
    Assignee: Synopsys Taiwan Co., Ltd.
    Inventors: Tung-Chieh Chen, Po-Hsun Wu, Po-Hung Lin, Tsung-Yi Ho
  • Patent number: 9158878
    Abstract: According to one embodiment, a method is disclosed for designing an integrated circuit by a computer including an input unit, a memory unit, a calculating unit, and an output unit. The method can include storing a design model in the memory unit. The design model has parameters of physical quantities of active elements, passive elements, and an interconnection pattern included in the integrated circuit. The design model has an algorithm generating a circuit layout from values of the parameters. The method can include inputting the values of the parameters based on a first design specification of the integrated circuit by the input unit, generating a first circuit layout of the active elements, the passive elements, and the interconnection pattern by the calculating unit using the design model from the values of the parameters received by the input unit, and outputting the first circuit layout by the output unit.
    Type: Grant
    Filed: January 23, 2014
    Date of Patent: October 13, 2015
    Assignee: Kabushiki Kaisha Toshiba
    Inventor: Keishi Sakanushi
  • Patent number: 9141749
    Abstract: A method of forming a semiconductor structure includes forming a sacrificial conductive material layer. The method also includes forming a trench in the sacrificial conductive material layer. The method further includes forming a conductive feature in the trench. The method additionally includes removing the sacrificial conductive material layer selective to the conductive feature. The method also includes forming an insulating layer around the conductive feature to embed the conductive feature in the insulating layer.
    Type: Grant
    Filed: November 1, 2013
    Date of Patent: September 22, 2015
    Assignee: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: David V. Horak, Charles W. Koburger, III, Shom Ponoth, Chih-Chao Yang
  • Patent number: 9129228
    Abstract: Aspects of the present disclosure relate generally to model fitting. A target model having a large number of inputs is fit using a performance model having relatively few inputs. The performance model is learned during the fitting process. Optimal optimization parameters including a sample size, a damping factor, and an iteration count are selected for an optimization round. A random subset of data is sampled based on the selected sample size. The optimization round is conducted using the iteration count and the sampled data to produce optimized parameters. The performance model is updated based on the performance of the optimization round. The parameters of the target model are then updated based on the damping factor and the parameters computed by the optimization round. The aforementioned steps are performed in a loop in order to obtain optimized parameters and fit of the data to the target model.
    Type: Grant
    Filed: June 13, 2014
    Date of Patent: September 8, 2015
    Assignee: Google Inc.
    Inventor: Christian Szegedy
  • Patent number: 9092587
    Abstract: A method analyzes RTL code to determine congestion of a logic design without completing a synthesis phase of a chip design process. The method can include receiving RTL code, and identifying a statement in the RTL code. The method can include determining that the statement in the RTL code corresponds to a structured device group in a component library, wherein the structured device group includes logic devices configured to occupy an area in a predefined spatial arrangement and with predetermined connectivity between the logic devices. The method can include determining congestion associated with the structured device group by performing operations including determining a congestion figure. The method can also include providing, based on the congestion figure, an indication of the congestion associated with the structured device group.
    Type: Grant
    Filed: July 30, 2014
    Date of Patent: July 28, 2015
    Assignee: International Business Machines Corporation
    Inventors: Sourav Saha, Dilip K. Jha
  • Patent number: 9060082
    Abstract: A wireless communication system includes: a first communication device, which has a first controller coupled to a first interface configured; and a second communication device, which has a second controller coupled to a second interface configured; wherein at least one of the first controller and the second controller is configured to: transmit data from the first communication device to the second communication device after establishing a connection of the wireless communication between the first communication device and the second communication device; determine, based on one of information regarding the data, information regarding the wireless communication, information regarding the first communication device and information regarding the second communication device, one of a plurality of different cutoff conditions as a cutoff condition; and terminate the connection of the wireless communication which has been performed after the data transmission is completed if the determined cutoff condition is satisf
    Type: Grant
    Filed: September 26, 2013
    Date of Patent: June 16, 2015
    Assignee: Brother Kogyo Kabushiki Kaisha
    Inventor: Junji Watanabe
  • Patent number: 9047165
    Abstract: A version control unit may maintain separate version numbers for multiple traits of a single model. In particular, a number of model traits may be identified, such as a model behavior trait, a software architecture trait, a simulation trait and a code generation trait. Version information for each trait may be maintained separately for the single model. Groups of elements of the model, such as graphical objects, relationships among the objects, object parameters, model parameters, etc., may be mapped to the model traits. The version control unit may determine what model elements are changed since a prior version, and identify the model traits to which the changed model elements are mapped. Version numbers for these traits may be incremented, while version numbers for the other traits may be left unchanged.
    Type: Grant
    Filed: March 13, 2013
    Date of Patent: June 2, 2015
    Assignee: The MathWorks, Inc.
    Inventor: Pieter J. Mosterman
  • Publication number: 20150149975
    Abstract: A method for providing a design diagram of a semiconductor device is provided. The method includes generating a circuit diagram representing connections among a supply voltage, a ground voltage and a plurality of components in the semiconductor device and displaying a plurality of layout restrictions on the circuit diagram by using a plurality of graphic symbols.
    Type: Application
    Filed: October 14, 2014
    Publication date: May 28, 2015
    Inventor: JEONG-SIK YU
  • Publication number: 20150145047
    Abstract: A method and circuit for implementing an enhanced transistor topology with a buried field effect transistor (FET) utilizing the drain of a FinFET as the gate of the new buried FET and a design structure on which the subject circuit resides are provided. A drain area of the fin area of a FinFET over a buried dielectric layer provides both the drain of the FinFET as well as the gate node of a second field effect transistor. This second field effect transistor is buried in the carrier semiconductor substrate under the buried dielectric layer.
    Type: Application
    Filed: November 27, 2013
    Publication date: May 28, 2015
    Applicant: International Business Machines Corporation
    Inventors: Karl R. Erickson, Phil C. Paone, David P. Paulsen, John E. Sheets, II, Gregory J. Uhlmann, Kelly L. Williams
  • Patent number: 9043740
    Abstract: A magnetic tunneling junction device and fabrication method is disclosed. In a particular embodiment, a non-transitory computer-readable medium includes processor executable instructions. The instructions, when executed by a processor, cause the processor to initiate deposition of a capping material on a free layer of a magnetic tunneling junction structure to form a capping layer. The instructions, when executed by the processor, cause the processor to initiate oxidization of a first layer of the capping material to form a first oxidized layer of oxidized material.
    Type: Grant
    Filed: October 8, 2013
    Date of Patent: May 26, 2015
    Assignee: QUALCOMM Incorporated
    Inventors: Kangho Lee, Xiaochun Zhu, Xia Li, Seung Hyuk Kang
  • Patent number: 9043742
    Abstract: Disclosed are methods, systems, and articles of manufactures for implementing physical designs by using multiple force models to iteratively morph a layout decomposition. In addition to attractive force model(s) or repulsive force model(s), the physical implementation also uses a containment force model for grouping multiple design blocks or for confining a node of a cell within the boundary of a container. Another aspect is directed at deriving a first force model at the first hierarchical level from a second force model at the second hierarchical level by directly modifying the second model based at least in part on characteristic(s) of the first hierarchical level and of the second hierarchical level. In a design with multiple hierarchies, a cell-based force model is also used to ensure child nodes of a parent cell stay within a close proximity of the parent node of the parent cell.
    Type: Grant
    Filed: March 15, 2013
    Date of Patent: May 26, 2015
    Assignee: Cadence Design Systems, Inc.
    Inventor: Thaddeus C. McCracken
  • Publication number: 20150137252
    Abstract: A layout design system includes a processor; a storage unit configured to store a first unit design having a first area, wherein in the first unit design, a termination is not placed on a border thereof; and a design module configured to generate a second unit design having a second area larger than the first area by placing the termination on a border of the first unit.
    Type: Application
    Filed: September 2, 2014
    Publication date: May 21, 2015
    Inventors: Sang-Hoon Baek, Sang-Kyu Oh, Na-Ya Ha, Seung-Weon Paek, Tae-Joong Song
  • Patent number: 9038011
    Abstract: A method, an apparatus, and a computer program product for wireless communication are provided. The apparatus generates a plurality of interconnect patterns for a set of longitudinal channels that are occupied by horizontal interconnects. Each interconnect pattern may be different from the other interconnect patterns. Each interconnect pattern may define relative locations for the set of horizontal interconnects and gap channels. Highest crosstalk is determined for each of the interconnect patterns and the interconnect pattern with the minimum highest crosstalk is selected as a preferred pattern. The highest crosstalk may comprise far-end crosstalk or near-end crosstalk and may be calculated for a range of frequencies or for a plurality of frequencies. The crosstalk may be calculated by modeling the interconnects as transmission lines.
    Type: Grant
    Filed: June 25, 2013
    Date of Patent: May 19, 2015
    Assignee: QUALCOMM Incorporated
    Inventors: Shree Krishna Pandey, Changyu Sun
  • Patent number: 9038012
    Abstract: The disclosure relates generally to triple-redundant sequential state (TRSS) machines formed as integrated circuits on a semiconductor substrate, such as CMOS, and computerized methods and systems of designing the triple-redundant sequential state machines. Of particular focus in this disclosure are sequential state elements (SSEs) used to sample and hold bit states. The sampling and holding of bits states are synchronized by a clock signal thereby allowing for pipelining in the TRSS machines. In particular, the clock signal may oscillate between a first clock state and a second clock state to synchronize the operation of the SSE according to the timing provided by the clock states. The SSEs has a self-correcting mechanism to protect against radiation induced soft errors. The SSE may be provided in a pipeline circuit of a TRSS machine to receive and store a bit state of bit signal generated by combinational circuits within the pipeline circuit.
    Type: Grant
    Filed: June 13, 2014
    Date of Patent: May 19, 2015
    Assignee: Arizona Board of Regents on behalf of Arizona State University
    Inventors: Lawrence T. Clark, Nathan D. Hindman, Dan Wheeler Patterson
  • Publication number: 20150135156
    Abstract: An integrated FinFET and deep trench capacitor structure and methods of manufacture are disclosed. The method includes forming at least one deep trench capacitor in a silicon on insulator (SOI) substrate. The method further includes simultaneously forming polysilicon fins from material of the at least one deep trench capacitor and SOI fins from the SOI substrate. The method further includes forming an insulator layer on the polysilicon fins. The method further includes forming gate structures over the SOI fins and the insulator layer on the polysilicon fins.
    Type: Application
    Filed: January 21, 2015
    Publication date: May 14, 2015
    Inventors: Kevin K. Chan, Sivananda K. Kanakasabapathy, Babar A. Khan, Masaharu Kobayashi, Effendi Leobandung, Theodorus E. Standaert, Xinhui Wang
  • Patent number: 9032350
    Abstract: A method for bypassing a defective through silicon via x in a group of n adjacent through silicon vias, includes receiving a plurality of relief signals to identify the defective through silicon via x, activating x?1 switch circuits to connect x?1 data circuits to through silicon vias 1 to x?1 in the group of n adjacent through silicon vias, activating n-x switch circuits to connect n-x data circuits to through silicon vias x+1 to n in the group of n adjacent through silicon vias, and activating a switch circuit to connect a data circuit to an auxiliary through silicon via which is adjacent through silicon via n in the group of n adjacent through silicon vias.
    Type: Grant
    Filed: July 8, 2014
    Date of Patent: May 12, 2015
    Assignee: PS4 Luxco S.A.R.L.
    Inventors: Kayoko Shibata, Hitoshi Miwa, Yoshihiko Inoue
  • Patent number: 9032353
    Abstract: A method includes providing a design of a semiconductor device such as a stacked CMOS device comprising a plurality of circuit elements to be assigned into a layout of a plurality of tiers, and identifying at least one first type of circuit element within the plurality of circuit elements based on at least one predetermined criterion. Each respective one of the at least one first type of circuit element is to be assigned to a respective designated one of the plurality of tiers. The method further includes dividing the remainder of the plurality of circuit elements into at least two groups of circuit elements based on circuit density, and assigning the at least one first type of circuit element and the at least two groups of circuit elements to respectively different ones of the plurality of tiers of the semiconductor device.
    Type: Grant
    Filed: October 10, 2013
    Date of Patent: May 12, 2015
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventor: Chien-Chun Tsai
  • Patent number: 9026977
    Abstract: A method includes electrically connecting a plurality of cells of a standard cell library to a power rail. A contact area is deposited to connect a first active area and a second active area of a cell of a plurality cells. The first area and the second area are located on opposite sides of the rail and electrically connected to different drains. The contact area is electrically connected to the power rail using a via. The contact area is masked to remove a portion of the contact area to electrically separate the first active are from the second active area.
    Type: Grant
    Filed: August 16, 2013
    Date of Patent: May 5, 2015
    Assignee: GLOBALFOUNDRIES Inc.
    Inventors: Marc Tarabbia, Norman Chen, Jian Liu, Nader Magdy Hindawy, Tuhin Guha Neogi, Mahbub Rashed, Anurag Mittal
  • Patent number: 9026976
    Abstract: In congestion aware point-to-point routing using a random point in an integrated circuit (IC) design, the random point is selected in a bounding area defined in a layout of the IC design. A set of pattern routes is constructed between a source pin and a sink pin in the bounding area, a pattern route in the set of pattern routes passing through the random point. A set of congestion cost corresponding to the set of pattern routes is computed. A congestion cost in the set of congestion costs corresponds to a pattern route in the set of pattern routes. A preferred pattern route is selected from the set of pattern routes, the preferred pattern route having the smallest congestion cost in the set of congestion costs. The preferred pattern route is output as a point-to-point route between the source pin and the sink pin.
    Type: Grant
    Filed: April 12, 2012
    Date of Patent: May 5, 2015
    Assignee: International Business Machines Corporation
    Inventors: Charles Jay Alpert, Zhuo Li, Chin Ngai Sze, Yaoguang Wei
  • Patent number: 9026962
    Abstract: An electronic design automation system combines features of discrete EDA/CAD systems and manufacturing systems into a monolithic system to enable a layperson to efficiently design, construct and have manufactured a specific class of custom electronic device, namely a computer processing unit with embedded software. A Graphical User Interface (GUI) is provided as the front-end to a Computer Aided Design (CAD) server that generates sophisticated control and manufacturing instructions that are delivered to a fabrication supply chain, which produces a specified device that is then transported via managed logistics into inventory and ordering systems at vendors for delivery to a designated customer.
    Type: Grant
    Filed: May 16, 2013
    Date of Patent: May 5, 2015
    Assignee: Gumstix, Inc.
    Inventors: Walter Gordon Kruberg, Neil C. MacMunn
  • Patent number: 9018046
    Abstract: An area efficient distributed device for integrated voltage regulators comprising at least one filler cell coupled between a pair of PADS on I/O rail of a chip and at least one additional filler cell having small size portion of said device is coupled to said I/O rails for distributing portions of said device on the periphery of said chip. The device is coupled as small size portion on the lower portion of said second filler cell for distributing said device on the periphery of said chip and providing maximal area utilization.
    Type: Grant
    Filed: March 15, 2013
    Date of Patent: April 28, 2015
    Assignees: STMicroelectronics International N.V., STMicroelectronics S.r.l.
    Inventors: Joshipura Jwalant, Nitin Bansal, Amit Katyal, Massimiliano Picca
  • Publication number: 20150113492
    Abstract: Roughly described, the cell layout in an SRAM array is re-arranged such that the gate electrodes for transistors for which flexibility to use one channel length is desired, are formed along a different track from those for transistors for which flexibility to use a different channel length is desired. Not only does such a re-arrangement permit optimization of device ratios, but also in certain implementations can also reduce, rather than increase, cell area. Specific example layouts are described. The invention also involves layout files, macrocells, lithographic masks and integrated circuit devices incorporating these principles, as well as fabrication methods.
    Type: Application
    Filed: December 26, 2014
    Publication date: April 23, 2015
    Applicant: SYNOPSYS, INC.
    Inventors: Xi-Wei Lin, Victor Moroz
  • Publication number: 20150106777
    Abstract: A method includes providing a design of a semiconductor device such as a stacked CMOS device comprising a plurality of circuit elements to be assigned into a layout of a plurality of tiers, and identifying at least one first type of circuit element within the plurality of circuit elements based on at least one predetermined criterion. Each respective one of the at least one first type of circuit element is to be assigned to a respective designated one of the plurality of tiers. The method further includes dividing the remainder of the plurality of circuit elements into at least two groups of circuit elements based on circuit density, and assigning the at least one first type of circuit element and the at least two groups of circuit elements to respectively different ones of the plurality of tiers of the semiconductor device.
    Type: Application
    Filed: October 10, 2013
    Publication date: April 16, 2015
    Applicant: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventor: Chien-Chun TSAI
  • Patent number: 9009644
    Abstract: A layout system automatically generates via definitions for a routing tool based on manufacturability of vias based on the via definitions. A physical verification tool of the system applies a set of preliminary via definitions to an integrated circuit test design at each of a plurality of offsets from a plurality of via locations to generate a set of candidate via definitions. Candidate via definitions that violate one or more design rules are discarded. A hierarchy constructor tool ranks the resulting candidate via definitions based on a combination of their manufacturability and frequency of applicability in the test design, and a predefined number of the candidate via definitions are selected based on their ranking. These selected via definitions can be used by a routing tool to generate a layout for another (non-test) integrated circuit device.
    Type: Grant
    Filed: December 20, 2013
    Date of Patent: April 14, 2015
    Assignee: Freescale Semiconductor, Inc.
    Inventors: Puneet Sharma, Chi-Min Yuan
  • Patent number: 9009637
    Abstract: A method for making a matrix device including a matrix of photodetecting or photoemitting elements, the method including designing operations for: a) identifying, from at least one topology of the matrix device, one or more spurious conducting closed circuits; b) selecting at least one photodetecting or photoemitting element of the matrix device belonging to at least one of the spurious conducting closed circuits identified, the at least one element selected being made inactive.
    Type: Grant
    Filed: April 12, 2012
    Date of Patent: April 14, 2015
    Assignees: Commissariat á l'énergie atomique et aux énergies alternatives, ISORG
    Inventors: Christophe Premont, Romain Gwoziecki
  • Patent number: 9009642
    Abstract: An apparatus includes a memory device that includes instructions for analyzing RTL code to determine congestion of a logic design without completing a synthesis phase of a chip design process. The instructions can include receiving RTL code, and identifying a statement in the RTL code. The instructions can include determining that the statement in the RTL code corresponds to a structured device group in a component library, wherein the structured device group includes logic devices configured to occupy an area in a predefined spatial arrangement and with predetermined connectivity between the logic devices. The instructions can include determining congestion associated with the structured device group by performing operations including determining a congestion figure. The instructions can also include providing, based on the congestion figure, an indication of the congestion associated with the structured device group.
    Type: Grant
    Filed: October 22, 2013
    Date of Patent: April 14, 2015
    Assignee: International Business Machines Corporation
    Inventors: Sourav Saha, Dilip K. Jha
  • Patent number: 9003338
    Abstract: One or more techniques or systems for incorporating a common template into a system on chip (SOC) design are provided herein. For example, a common template mask set is generated based on a first set of polygon positions from a first vendor and a second set of polygon positions from a second vendor. A third party creates a third party SOC design using a set of design rules generated based on the common template mask set. The common template is fabricated based on the third party SOC design using the common template mask set. Because the common template is formed using the common template mask set and because the common template mask set is based on polygon positions from both the first vendor and the second vendor, a part can be connected to the SOC regardless of whether the part is sourced from the first vendor or the second vendor.
    Type: Grant
    Filed: March 15, 2013
    Date of Patent: April 7, 2015
    Assignee: Taiwan Semiconductor Manufacturing Company Limited
    Inventors: William Wu Shen, Yun-Han Lee, Chin-Chou Liu, Hsien-Hsin Lee, Chung-Sheng Yuan, Chao-Yang Yeh, Wei-Cheng Wu, Ching-Fang Chen
  • Patent number: 9003348
    Abstract: Roughly described, the invention involves ways to characterize, take account of, or take advantage of stresses introduced by TSV's near transistors. The physical relationship between the TSV and nearby transistors can be taken into account when characterizing a circuit. A layout derived without knowledge of the physical relationships between TSV and nearby transistors, can be modified to do so. A macrocell can include both a TSV and nearby transistors, and a simulation model for the macrocell which takes into account physical relationships between the transistors and the TSV. A macrocell can include both a TSV and nearby transistors, one of the transistors being rotated relative to others. An IC can also include a transistor in such proximity to a TSV as to change the carrier mobility in the channel by more than the limit previously thought to define an exclusion zone.
    Type: Grant
    Filed: February 24, 2014
    Date of Patent: April 7, 2015
    Assignee: Synopsys, Inc.
    Inventors: James David Sproch, Victor Moroz, Xiaopeng Xu, Aditya Pradeep Karmarkar
  • Patent number: 9003347
    Abstract: A system and method for designing integrated circuits is disclosed. An embodiment comprises placing a standard cell with a first cell height into a cell row with a different height. The standard cell may have a height smaller than the cell row or else may have a height that is larger than the cell row. Vertical fillers and horizontal fillers are utilized to extend and connect the standard cell to adjacent cells without having to redesign the entire cell row.
    Type: Grant
    Filed: December 23, 2013
    Date of Patent: April 7, 2015
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Yun-Han Lee, Wu-An Kuo
  • Patent number: 9003336
    Abstract: A method for optimizing mask assignment for multiple pattern processes includes, through a computing system, defining which of a number of vias to be formed between two metal layers are critical based on metal lines interacting with the vias, determining overlay control errors for an alignment tree that defines mask alignment for formation of the two metal layers and the vias, and setting both the alignment tree and mask assignment for the vias so as to maximize the placement of critical vias on masks that have less overlay control error to the masks forming the relevant metal lines.
    Type: Grant
    Filed: March 1, 2013
    Date of Patent: April 7, 2015
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Wen-Chun Huang, Ken-Hsien Hsieh, Ming-Hui Chih, Chih-Ming Lai, Ru-Gun Liu, Ko-Bin Kao, Chii-Ping Chen, Dian-Hau Chen, Tsai-Sheng Gau, Burn Jeng Lin