Placement Or Layout Patents (Class 716/119)
  • Patent number: 8910103
    Abstract: A method is for designing an accelerator for digital signal processing including defining a software programmable fully pre-laid out macro by pre-laying out with a fixed topology a control logic of the DSP accelerator to obtain a fully pre-laid out control logic. The method further includes defining a hardware programmable partially pre-laid out macro by customizing a configurable layout area, thereby mapping a computational logic based on computation kernels related to an application of the DSP accelerator. A partially pre-laid out computational logic is therefore obtained.
    Type: Grant
    Filed: December 28, 2009
    Date of Patent: December 9, 2014
    Assignee: STMicroelectronics S.r.l.
    Inventors: Fabio Campi, Claudio Mucci, Stefano Pucillo, Luca Ciccarelli, Valentina Nardone
  • Patent number: 8910100
    Abstract: The subject system and method are generally directed to the user-friendly insertion of at least one device, and optionally chains of devices, into at least one pre-existing chain of interconnected devices within a graphical representation of a circuit design such as a circuit layout, circuit mask, or a schematic. The system and method provide for discerning the intended insertion points and performing remedial transformations of the devices within the chains to ensure compliance with both structural and operational requirements of the circuit design.
    Type: Grant
    Filed: July 22, 2014
    Date of Patent: December 9, 2014
    Assignee: Cadence Design Systems, Inc.
    Inventors: Thomas Wilson, Arnold Ginetti, Kenneth Ferguson, Yuan-Kai Pei
  • Publication number: 20140359546
    Abstract: Integrated circuit design uses a library of structured soft blocks (SSBs) composed of pre-defined sets of cells with their logic implementation and placement templates with their relative placement information. A compiler receives a circuit description which includes an instance of an SSB and unfolds the instance according to the placement template to generate a modified circuit description which includes the relative placement information. The placement of circuit objects is optimized while maintaining relative locations for cells of the SSB instance according to the relative placement information. The SSB may be hierarchical. Gate resizing of cells in the SSB instance may result in a change in its bounds. A timing optimization procedure for the modified circuit description may be carried out while hiding internal details of the SSB instance. For example, buffers may be inserted in nets external to the SSB instance while preventing insertion of buffers in any internal nets.
    Type: Application
    Filed: May 28, 2013
    Publication date: December 4, 2014
    Applicant: International Business Machines Corporation
    Inventors: Yiu-Hing Chan, Mark D. Mayo, Shyam Ramji, Paul G. Villarrubia
  • Publication number: 20140359547
    Abstract: Systems and methods for avoiding restrictions on cell placement in a hierarchical design of integrated circuits with multi-patterning requirements are described. The method may be provided implemented in a computer infrastructure having computer executable code tangibly embodied on a computer readable storage medium having programming instructions operable to assign a color to each pattern shape in a first cell, assign a color to each pattern shape in a second cell, characterize quantities of interest for each pattern shape in the first cell, determine that the colors assigned in the first cell are all one to one mappable to the colors assigned in the second cells, characterize quantities of interest for each pattern shape in the second cell using the quantities of interest characterized for the first cell, and model the quantities of interest for the first cell and the second cell.
    Type: Application
    Filed: August 19, 2014
    Publication date: December 4, 2014
    Inventors: Nathan BUCK, Brian DREIBELBIS, John P. DUBUQUE, Eric A. FOREMAN, David J. HATHAWAY, Jeffrey G. HEMMETT, Natesan VENKATESWARAN, Chandramouli VISWESWARIAH, Vladimir ZOLOTOV
  • Patent number: 8904326
    Abstract: In a semiconductor device design method performed by at least one processor, location data of at least one electrical component in a layout of a semiconductor device is extracted by the at least one processor. Voltage data associated with the at least one electrical component and based on a simulation of an operation of the semiconductor device is extracted by the at least one processor. Based on the extracted location data, the extracted voltage data is incorporated, by the at least one processor, in the layout to generate a modified layout of the semiconductor device.
    Type: Grant
    Filed: June 29, 2012
    Date of Patent: December 2, 2014
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Mu-Jen Huang, Chih Chi Hsiao, Wei-Ting Lin, Tsung-Hsin Yu, Chien-Wen Chen, Yung-Chow Peng
  • Patent number: 8898612
    Abstract: An electronic design automation (EDA) tool for inserting dummy tiles between interconnect lines of an integrated circuit design includes a memory for storing the integrated circuit design and a processor in communication with the memory. The processor identifies those interconnect lines that are at different voltage levels, have a length greater than a predefined threshold length and a spacing less than a predefined threshold spacing, and inserts blockage areas between such interconnect lines. The processor skips the blockage areas and adds dummy tiles only between those interconnect lines that do not meet predetermined criteria.
    Type: Grant
    Filed: October 30, 2013
    Date of Patent: November 25, 2014
    Assignee: Freescale Semiconductor, Inc.
    Inventors: Ankit Jain, Narayanan Kannan
  • Patent number: 8898610
    Abstract: Techniques for organizing a cell library permit a large number of cells. To improve design accuracy using cell libraries, very large cell libraries are needed. However, optimization tools are not able to use very large cell libraries directly, since their results suffer. Very large cell libraries are organized into sublibraries that are adapted to be processed by optimization tools. This allows improvement in the design quality of integrated circuits, while allowing the designs to be processed by optimization tools.
    Type: Grant
    Filed: June 4, 2012
    Date of Patent: November 25, 2014
    Assignee: Nangate Inc.
    Inventors: Jens Peter Tagore-Brage, Ole Christian Anderson
  • Patent number: 8898614
    Abstract: A method includes preferentially placing fill regions adjacent to transistors of a particular conductivity type, such as p-channel transistors, for a plurality of standard cell instances of a device design. The method also includes evaluating all transistors of the first conductivity type prior to evaluating any transistors of a second conductivity type. The second conductivity type is opposite the first conductivity type. For each transistor being evaluated, it is determined whether a criterion is me. A fill region is placed within a field isolation region adjacent to the transistor if the criterion is met.
    Type: Grant
    Filed: April 19, 2010
    Date of Patent: November 25, 2014
    Assignee: Freescale Semiconductor, Inc.
    Inventors: Puneet Sharma, Magdy S. Abadir, Scott P. Warrick
  • Publication number: 20140344770
    Abstract: A system and method of designing a layout for a plurality of different logic operation (LOP) cell technologies includes defining a priority for each LOP cell technology in the plurality of different LOP technologies and forming a layout of the plurality of different LOP cells for formation on a substrate with at least some of the LOP cells of higher priority LOP technologies overlapping LOP cells of lower priority LOP technologies. The system can include a processor coupled to memory where stored code defines the priority for each different cell technology in the plurality of LOP cells and (when the code is executed) the processor forms the layout of a plurality of different LOP cells. All of the LOP cells of higher priority LOP technologies overlap LOP cells of lower priority. The system or method also avoids the overlap of higher priority LOP cells by lower priority LOP cells.
    Type: Application
    Filed: May 17, 2013
    Publication date: November 20, 2014
    Applicant: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Tsung-Yu CHIANG, Kuang-Hsin Chen, Song-Bor Lee, Bor-Zen Tien, Tzong-Sheng Chang
  • Patent number: 8893069
    Abstract: A computer-implemented method is disclosed for layout pattern or layout constraint reuse by identifying sub-circuits with identical or similar schematic structure based on a topology comparison strategy. The selected sub-circuit is transformed into a topology representing the relative positions among the instances of the selected sub-circuit. Based on the topology, one or more sub-circuits with identical or similar topologies in a predefined scope of a schematic are recognized and identified. Accordingly, the layout or the layout constraint of the selected sub-circuit is copied and associated to each of the identified sub-circuits. Furthermore, once the sub-circuits are identified, they can be listed on a user interface with notations to allow users to confirm each of the identified sub-circuits respectively.
    Type: Grant
    Filed: October 6, 2012
    Date of Patent: November 18, 2014
    Assignees: Synopsys, Inc., Synopsys Taiwan Co., Ltd.
    Inventors: Yu-Chi Su, Ming-I Lai, Hsiao-Tzu Lu
  • Patent number: 8893070
    Abstract: In various embodiments, each possible different instance of a repeated block can be concurrently modified for chip routing. Repeated blocks can be implemented where all instances of a repeated block are identical or substantially identical. Pin placement may be determined based on analysis of the I/O for all instances. The pin placement may be generated to be identical or substantially similar for all instances. Flyover blockages can be designed into repeated blocks to enable the global router to wire through the repeated block. Buffers and associated pins can be inserted into repeated block within the flyover space where the global router wires to the needed buffer through area pins.
    Type: Grant
    Filed: March 25, 2013
    Date of Patent: November 18, 2014
    Assignee: Synopsys, Inc.
    Inventors: Jacob Avidan, Sandeep Grover, Roger Carpenter, Philippe Sarrazin
  • Patent number: 8887115
    Abstract: A method includes: calculating a position-dependent processing load to be caused by a process that depends on a position of a cell, for each of cells into which a spatial area specified as a target of an analysis to be executed by processing devices that are included in a first number of processing devices and synchronize for each unit in time domain is divided; and assigning the cells to a second number of processing devices which are part or all of the first number of processing devices, under a condition where a criterion determined with respect to uniformity in a total processing time among processing devices used for the analysis is met. The total processing time of each processing device includes a length of time to be taken to execute each process depending on the position of each of cell(s) to be assigned to this processing device.
    Type: Grant
    Filed: April 7, 2014
    Date of Patent: November 11, 2014
    Assignee: Fujitsu Limited
    Inventor: Tomoki Katou
  • Publication number: 20140331197
    Abstract: The disclosure relates generally to triple-redundant sequential state (TRSS) machines formed as integrated circuits on a semiconductor substrate, such as CMOS, and computerized methods and systems of designing the triple-redundant sequential state machines. Of particular focus in this disclosure are sequential state elements (SSEs) used to sample and hold bit states. The sampling and holding of bits states are synchronized by a clock signal thereby allowing for pipelining in the TRSS machines. In particular, the clock signal may oscillate between a first clock state and a second clock state to synchronize the operation of the SSE according to the timing provided by the clock states. The SSEs has a self-correcting mechanism to protect against radiation induced soft errors. The SSE may be provided in a pipeline circuit of a TRSS machine to receive and store a bit state of bit signal generated by combinational circuits within the pipeline circuit.
    Type: Application
    Filed: June 13, 2014
    Publication date: November 6, 2014
    Applicant: ARIZONA BOARD OF REGENTS ON BEHALF OF ARIZONA STATE UNIVERSITY
    Inventors: Lawrence T. Clark, Nathan D. Hindman, Dan Wheeler Patterson
  • Patent number: 8881085
    Abstract: A method of evaluating a layout cell for electrostatic discharge (ESD) protection can include identifying at least one feature of the layout cell for use in implementing an integrated circuit (IC) and comparing the at least one feature of the layout cell to an ESD requirement for the IC. The method can include indicating whether the feature of the layout cell complies with the ESD requirement.
    Type: Grant
    Filed: June 3, 2010
    Date of Patent: November 4, 2014
    Assignee: Xilinx, Inc.
    Inventors: James Karp, Greg W. Starr, Mohammed Fakhruddin
  • Patent number: 8878303
    Abstract: A method of optimizing a layout of an integrated circuit formed using fin-based cells of a standard cell library is provided. The method includes arranging cell rows of different track heights having standard cells. For each cell row, each of the standard cells includes sub-cell rows with sub-cells of one or more types. The sub-cells are interchangeable with one another to modify a device characteristic of the standard cell. The method also includes evaluating the integrated circuit to determine whether a performance metric of the integrated circuit has been satisfied. The method also includes identifying one or more standard cells to modify a device characteristic of the standard cell for satisfying the performance metric of the integrated circuit. The method further includes modifying the one or more standard cells until the performance metric of the integrated circuit is satisfied.
    Type: Grant
    Filed: January 4, 2013
    Date of Patent: November 4, 2014
    Assignee: Broadcom Corporation
    Inventors: Mehdi Hatamian, Paul Penzes
  • Patent number: 8881086
    Abstract: Methods and apparatuses for an Integrated Circuit (IC) with a shielding of wires. In at least one embodiment, an integrated Circuit (IC) device comprises a first plurality of signal wires disposed within a substrate a shielding mesh disposed on the substrate. In at least one embodiment, the shielding mesh comprises a first plurality of connected wires for a first reference voltage and a second plurality of connected wires for a second reference voltage. Wherein at least a first portion of each of the first plurality of the signal wires is shielded between one of the first plurality of connected wires and one of the second plurality of connected wires from adjacent signal wires and a second portion of the first plurality of signal wires are adjacent to each other in a region defined by the first and second pluralities of connected wires.
    Type: Grant
    Filed: October 8, 2012
    Date of Patent: November 4, 2014
    Assignee: Synopsys, Inc.
    Inventor: Kenneth S. McElvain
  • Patent number: 8881083
    Abstract: A design methodology for routing for an integrated circuit is disclosed. The method includes placement of cells having double diffusion breaks, which create an extended intercell region. Metal layer prohibit zones are defined to prohibit any M1 structures in the prohibit zones. Metal layer allow zones are placed adjacent to outer metal lines, and jogs are formed in the metal layer allow zones. Vias and viabars may then be applied on the jogs.
    Type: Grant
    Filed: May 1, 2013
    Date of Patent: November 4, 2014
    Assignee: GLOBALFOUNDRIES Inc.
    Inventors: Yunfei Deng, Lei Yuan, Hidekazu Yoshida, Juhan Kim, Mahbub Rashed, Jongwook Kye
  • Publication number: 20140325465
    Abstract: A chip with flexible pad sequence manipulation is provided. The chip can be a memory controller, and includes a hub unit. The hub unit, formed by a gate array, is placed in a hub region predetermined during placing and routing procedures, and is capable of supporting re-placing and re-routing for changing interior interconnections and a pad sequence of the chip.
    Type: Application
    Filed: April 24, 2014
    Publication date: October 30, 2014
    Applicant: MStar Semiconductor, Inc.
    Inventors: Hsin-Cheng Lai, Yung Chang, Chen-Nan Lin, Chung-Ching Chen, Chen-Hsing Lo, Shang-Yi Chen, Cheng-Hsun Liu
  • Publication number: 20140325466
    Abstract: A method embodiment includes identifying, by a processor, an empty region in an integrated circuit (IC) layout, wherein the empty region is a region not including any active fins. The method further includes providing a standard dummy fin cell and forming an expanded dummy fin cell. The standard dummy fin cell includes a plurality of partitions. The expanded dummy fin cell is larger than the standard dummy fin cell, and the expanded dummy fin cell includes integer multiples of each of the plurality of partitions. The empty region is filled with a plurality of dummy fin cells, wherein the plurality of dummy fin cells includes the expanded dummy fin cell. The plurality of dummy fin cells is implemented in an IC.
    Type: Application
    Filed: July 8, 2014
    Publication date: October 30, 2014
    Inventors: Li-Sheng Ke, Jia-Rong Hsu, Wen-Ju Yang, Hung-Lung Lin
  • Patent number: 8875082
    Abstract: A system and method for expeditious operational timing signoff of a circuit design through a timing analysis and subsequent corrective or remedial optimization is performed with the goal of correlating timing between the physical implementation corrective optimizer module and the timing analysis module to reduce iterations therebetween. A physical optimizer in the correction module is imparted with knowledge of the physical implementation of the design to allow for legal, non-conflicting placement of corrective buffers or resizing of gates in accordance with the physical implementation data of the circuit design.
    Type: Grant
    Filed: December 28, 2012
    Date of Patent: October 28, 2014
    Assignee: Cadeńce Design Systems, Inc.
    Inventors: Sourav Kumar Sircar, Manish Garg
  • Patent number: 8875081
    Abstract: A method for designing and making an integrated circuit is described. That method utilizes statistical models of wire segments to accurately estimate the expected length of minimum-length, orthogonal wire segments within a block. From these estimates, the method accurately estimates an ratio between the horizontal and vertical routing resources required, termed the “H/V Demand Ratio.” From the H/V Demand Ratio, an accurate estimate of the height and width of the block may be determined. Thereafter, placement and routing may be performed quickly and accurately, thereby allowing the block to be designed and manufactured quickly and cost effectively. A method for designing an integrated circuit with efficient metal-1 resource utilization is also described.
    Type: Grant
    Filed: February 26, 2013
    Date of Patent: October 28, 2014
    Assignees: Synopsys Taiwan Co., Ltd., Synopsys, Inc.
    Inventors: Fong-Yuan Chang, Sheng-Hsiung Chen, Tung-Chieh Chen, Ren-Song Tsay, Wai-Kei Mak
  • Patent number: 8869088
    Abstract: An embodiment of an interposer is disclosed. In such an embodiment, there is a first printed circuit region and a second printed circuit region. The second printed circuit region is proximate to the first printed circuit region with a seam region between the first printed circuit region and the second printed circuit region. The seam region includes a first die seal and a second die seal spaced apart from one another with a scribe line located between the first die seal and the second die seal.
    Type: Grant
    Filed: June 27, 2012
    Date of Patent: October 21, 2014
    Assignee: Xilinx, Inc.
    Inventor: Rafael C. Camarota
  • Patent number: 8867086
    Abstract: There is provided a mechanism of preferentially using a memory layer which suffers a small influence of heat of an SOC die, based on the positional relationship between the SOC die and the memory layer, and decreasing the refresh frequency of the DRAM and a leakage current. To accomplish this, an information processing apparatus allocates, in order to execute an accepted job, a memory area for executing the job preferentially from a memory physically farthest from the SOC die among a plurality of memories, and then executes the job.
    Type: Grant
    Filed: November 26, 2013
    Date of Patent: October 21, 2014
    Assignee: Canon Kabushiki Kaisha
    Inventor: Tsuyoshi Mima
  • Patent number: 8869094
    Abstract: A standard cell library is disclosed. The standard cell library contains cells wherein at least one transistor in at least one cell is annotated for gate length biasing. Gate length biasing includes the modification of the gate length, so as to change the speed or power consumption of the modified gate length. The standard cell library is one used in the manufacturing of semiconductor devices (e.g., that result as semiconductor chips), by way of fabricating features defined on one or more layouts of geometric shapes. The annotations serve to identify which ones of the transistor gate features are to be modified before using the geometric shapes for manufacturing the semiconductor device.
    Type: Grant
    Filed: September 14, 2012
    Date of Patent: October 21, 2014
    Assignee: Tela Innovations, Inc.
    Inventors: Puneet Gupta, Andrew B. Kahng
  • Patent number: 8869084
    Abstract: A method for generating a layout for a cell of an integrated circuit (IC) guided by design rule checking (DRC) is disclosed. In the method, a model is defined, wherein the model comprises a plurality of parameters for generating a layout of the cell. Next an initial layout for the cell can be generated according to an initial set of values for the plurality of parameters. Then design rule checking (DRC) is performed for the initial layout based on a set of design rules. If any violations are found, the corresponding violation reports will be associated with the model. Therefore, a new set of values for the plurality of parameters can be generated by analyzing the violation reports collectively based on the model. With the new set of values for the plurality of parameters and above steps repeated, until no violation is found, a “DRC clean” layout can be generated.
    Type: Grant
    Filed: November 24, 2012
    Date of Patent: October 21, 2014
    Assignees: Synopsys, Inc., Synopsys Taiwan Co., Ltd.
    Inventors: Chien-Fu Chung, Yuan-Kai Pei, Shyh-An Tang
  • Patent number: 8869078
    Abstract: Roughly described, the invention includes layouts and masks for an integrated circuit, in which the diffusion shape for a transistor includes a transversely extending jog on one or both transversely opposite sides, the jog having inner and outer corners, at least one of which is located relative to the gate conductor longitudinally such that during lithographic printing of the diffusion shape onto the integrated circuit, the corner will round and extend at least partly into the channel region. The invention also includes aspects for a system and method for introducing such jogs, and for an integrated circuit device having a non-rectangular channel region, the channel region being wider where it meets the source region than at some other longitudinal position under the gate.
    Type: Grant
    Filed: April 9, 2014
    Date of Patent: October 21, 2014
    Assignee: Synopsys, Inc.
    Inventors: Victor Moroz, Munkang Choi, Xi-Wei Lin
  • Patent number: 8869085
    Abstract: Structure and methods for a semiconductor transistor design. The transistor structure comprises a field effect transistor having a multi-finger gate and three or more diffusion regions. Each diffusion region is identified as either a source region or a drain region, and each diffusion region is further identified as either an inner diffusion region or an outer diffusion region. Electrical contacts are established in the inner diffusion regions and the outer diffusion regions. There are approximately twice as many contacts in an inner source region as in the outer source region. There are approximately twice as many contacts in an inner drain region as in the outer drain region. The number and locations of contacts in each diffusion region are adjusted to reduce the difference among source node voltages of all fingers and the difference among drain node voltages of all fingers.
    Type: Grant
    Filed: October 11, 2012
    Date of Patent: October 21, 2014
    Assignee: International Business Machines Corporation
    Inventor: Ning Lu
  • Patent number: 8859416
    Abstract: A computer-readable software product is provided for executing a method of determining the location of a plurality of power rail vias in a semiconductor device. The semiconductor device includes an active region and a power rail. Locations of a first via and a second via are assigned along the power rail. The spacing between the location of the first via and the location of the second via is a minimum spacing allowable. The spacing between the location of the second via and the locations of structures in the active region which may electrically interfere with the second via is determined. The location of the second via is changed in response to the spacing between the location of the second via and the location of one of the structures in the active region being less than a predetermined distance.
    Type: Grant
    Filed: April 24, 2012
    Date of Patent: October 14, 2014
    Assignee: GLOBALFOUNDRIES, Inc.
    Inventors: David S. Doman, Mahbub Rashed, Marc Tarrabia
  • Patent number: 8863064
    Abstract: A method for modifying a design of an integrated circuit includes obtaining design layout data for the integrated circuit and selecting at least one SRAM cell in the integrated circuit to utilize enhanced body effect (EBE) transistors comprising a substantially undoped channel layer and a highly doped screening region beneath the channel layer. The method also includes extracting, from the design layout, NMOS active area patterns and PMOS active area patterns associated with the SRAM cell to define an EBE NMOS active area layout and a EBE PMOS active area layout. The method further includes adjusting the EBE NMOS active area layout to reduce a width of at least pull-down devices in the SRAM cell and altering a gate layer layout in the design layout data such that a length of pull-up devices in the at least one SRAM and a length of the pull-down devices are substantially equal.
    Type: Grant
    Filed: February 26, 2013
    Date of Patent: October 14, 2014
    Assignee: SuVolta, Inc.
    Inventors: George Tien, David A. Kidd, Lawrence T. Clark
  • Patent number: 8863068
    Abstract: A dynamic system coupled with “pre-Silicon” design methodologies and “post-Silicon” current optimizing programming methodologies to improve and optimize current delivery into a chip, which is limited by the physical properties of the connections (e.g., Controlled Collapse Chip Connection or C4s). The mechanism consists of measuring or estimating power consumption at a certain granularity within a chip, converting the power information into C4 current information using a method, and triggering throttling mechanisms (including token based throttling) where applicable to limit the current delivery per C4 beyond pre-established limits or periods. Design aids are used to allocate C4s throughout the chip based on the current delivery requirements. The system coupled with design and programming methodologies improve and optimize current delivery is extendable to connections across layers in a multilayer 3D chip stack.
    Type: Grant
    Filed: June 18, 2012
    Date of Patent: October 14, 2014
    Assignee: International Business Machines Corporation
    Inventors: Pradip Bose, Alper Buyuktosunoglu, John A. Darringer, Moinuddin K. Qureshi, Jeonghee Shin
  • Publication number: 20140304671
    Abstract: A system, apparatus and computer-implemented method for manipulating a parameterized cell device into a custom layout design. The method begins by receiving at least one parameterized cell representing a physical circuit from, for example, a database or configuration file. The parameterized cell has a plurality of configurable attributes. The method continues by adjusting one of the configurable attributes of the parameterized cell according to a capability associated with the one attribute. The attributes may include one or more of a parameter mapping capability, a port mapping capability, an abutment capability, a directional extension capability, a channel width capability, and a boundary layer capability. The method then calculates a new configuration for the parameterized cell based upon the adjustment, and applies the new configuration for the parameterized cell to a layout of the represented physical circuit.
    Type: Application
    Filed: March 10, 2014
    Publication date: October 9, 2014
    Applicant: Synopsys, Inc.
    Inventors: Hsiao-Tzu LU, Duncan Robert McDONALD, Chih-Wei YUAN, Wen-Lung KANG
  • Patent number: 8856717
    Abstract: A circuit board design aid is achieved by generating a shield pattern for a wiring pattern including a pattern element in a circuit board by increasing a width of a geometry of the pattern element by an amount corresponding to a shield pattern spacing set as a preset pattern generation condition. A prohibition region is generated based on a geometry of an element for which a clearance check is to be performed located around the wiring pattern and a clearance condition between the element for performing a clearance check and the wiring pattern. Then, the shield pattern is generated by excluding the geometry of the prohibition region from the geometry of the basic shield pattern element.
    Type: Grant
    Filed: September 16, 2010
    Date of Patent: October 7, 2014
    Assignee: Fujitsu Limited
    Inventors: Kazunori Kumagai, Eiichi Konno
  • Patent number: 8856716
    Abstract: An automatic placement system of IC design and a method thereof is provided. The automatic placement system of IC design concerns the chip area utility ratio, the input-output relationship between components, the power consumption produced from thermal noise of circuits and the MOS-type transformation ratio, and performs the genetic algorithm for providing an optimal solution to the placement problem. Herewith the effect of optimizing the placement according to the data of components and parameter is achieved.
    Type: Grant
    Filed: September 16, 2013
    Date of Patent: October 7, 2014
    Assignee: National Taiwan University
    Inventors: Han-Pang Huang, Ming-Hui Chang, Che-Hsin Cheng
  • Patent number: 8856701
    Abstract: The present disclosure relates to an apparatus and method to generate a device library, along with layout versus schematic (LVS) and parasitic extraction set-up files for connecting with official tools of a design window supported by a process design kit (PDK). The device library comprises passive devices which can be utilized at any point in an end-to-end design flow from pre-layout verification to post-layout verification of an integrated circuit design. The device library allows for a single schematic view for pre-layout verification but also post-layout verification, thus allowing for pole or pin comparison, and prevents double-counting of parasitic effects from passive design elements by directly instantiating a device from the device library for a verification step. An LVS and parasitic extraction graphical user interface (GUI) allows for incorporation of the generated device library into a pre-existing PDK without any modification to the PDK. Other devices and methods are also disclosed.
    Type: Grant
    Filed: March 12, 2013
    Date of Patent: October 7, 2014
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Chin-Sheng Chen, Tsun-Yu Yang, Wei-Yi Hu, Tao Wen Chung, Hui Yu Lee, Jui-Feng Kuan, Yi-Kan Cheng
  • Patent number: 8856702
    Abstract: A method for designing a system on a target device includes entering the system. The system is synthesized. The system is mapped. The system is placed on the target device. The system is routed. Physical synthesis is performed on the system immediately after more than one of the entering, synthesizing, mapping, placing and routing procedures.
    Type: Grant
    Filed: July 5, 2013
    Date of Patent: October 7, 2014
    Assignee: Altera Corporation
    Inventors: Deshanand Singh, Valavan Manohararajah, Gordon Raymond Chiu, Ivan Blunno, Stephen D. Brown
  • Patent number: 8856715
    Abstract: Methodologies enabling BEoL VNCAPs in ICs and resulting devices are disclosed. Embodiments include: providing a plurality of mandrel recesses extending horizontally on a substrate, each of the mandrel recesses having an identical width and being separated from another one of the mandrel recesses by an identical distance; providing a plurality of routes, each of the plurality of routes being positioned in a different one of the mandrel recesses; and providing first and second vertical segments on the substrate, the first vertical segment being connected to a set of the plurality of routes and separated from the second vertical segment, and the second vertical segment being separated from the set of routes.
    Type: Grant
    Filed: July 23, 2013
    Date of Patent: October 7, 2014
    Assignee: GlobalFoundries Inc.
    Inventors: Jason Stephens, Vikrant Chauhan, Lawrence Clevenger, Ning Lu, Albert Chu
  • Patent number: 8856704
    Abstract: Provided is a layout library having a plurality of unit layouts in which the same flip-flop circuit is implemented. In the layout library, at least two unit layouts have mutually different arrangement structures. Therefore, coupling capacitances seen at an equal node with respect to the two flip-flop circuits appear to be different from each other. A semiconductor designer can select a layout in which a desired coupling capacitance is set through wiring, and through this, can adopt a required flip-flop circuit.
    Type: Grant
    Filed: November 21, 2011
    Date of Patent: October 7, 2014
    Assignee: Industry-University Cooperation Foundation Hanyang University
    Inventor: Sang Hyeon Baeg
  • Patent number: 8856712
    Abstract: A flip-flop operating with standard threshold voltage MOS devices as compared with high threshold voltage MOS devices may have improved speed performance, but greater leakage current. Likewise, a flip-flop operating with high threshold voltage MOS devices may reduce the leakage current and have better power efficiency, but decreased speed and performance. An optimized flip-flop may include a combination of standard threshold voltage MOS devices and high threshold voltage MOS devices. The optimized flip-flop may have less leakage during stand-by mode as compared to a flip-flop with standard threshold voltage MOS devices. In addition, the optimized flip-flop may have better performance and speed as compared to a flip-flop with high threshold voltage MOS devices.
    Type: Grant
    Filed: October 24, 2012
    Date of Patent: October 7, 2014
    Assignee: SanDisk Technologies Inc.
    Inventors: Deepak Pancholi, Srikanth Bojja, Bhavin Odedara
  • Patent number: 8850379
    Abstract: A method of generating an optimized layout of semiconductor components in conformance with a set of design rules includes generating, for a unit cell including one or more semiconductor components, a plurality of configurations each of which satisfies some, but not all, of the design rules. For each configuration, it is checked whether a layout, which is a repeating pattern of the unit cell, satisfies the remaining design rules. Among the configurations which satisfy all of the design rules, the configuration providing an optimal value of a property is selected for generating the optimized layout of the semiconductor components.
    Type: Grant
    Filed: January 18, 2012
    Date of Patent: September 30, 2014
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Chien-Hung Chen, Yung-Chow Peng, Chung-Hui Chen, Chih Ming Yang
  • Patent number: 8850370
    Abstract: A layout method of a semiconductor circuit is provided. The layout method is firstly putting a plurality of circuit patterns on a substrate, wherein a first distance is the largest distance between any one of the circuit patterns and one of other circuit patterns adjacent thereto. The layout method is then determining whether the first distance is larger than a first critical value. Later, when the first distance is larger than the first critical value, at least a closed loop dummy pattern is putted in one of the areas corresponding to the first distance between the pair of the circuit patterns. The closed loop dummy pattern is putted in a same layer with the circuit patterns, surrounds between the pair of circuit patterns and is insulated from the circuit patterns.
    Type: Grant
    Filed: December 3, 2013
    Date of Patent: September 30, 2014
    Assignee: United Microelectronics Corporation
    Inventors: Chia-Chen Sun, Shih-Chieh Hsu, Yi-Chung Sheng, Sheng-Yuan Hsueh, Yao-Chang Wang
  • Patent number: 8850367
    Abstract: The present disclosure provides one embodiment of an integrated circuit (IC) design method. The method includes receiving an IC design layout having a first plurality of features defined in a first layer and a second plurality of features defined in a second layer; converting the IC design layout to a topological diagram having nodes, chains and arrows; and identifying alignment conflict based on the topological diagram using rules associated with loop and path count.
    Type: Grant
    Filed: January 2, 2013
    Date of Patent: September 30, 2014
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Chih-Ming Lai, Ken-Hsien Hsieh, Wen-Chun Huang, Ru-Gun Liu
  • Publication number: 20140264901
    Abstract: In a semiconductor device including a seal ring area containing multiple seal rings are coupled to each other at equal intervals via bridge patterns, improper local relocation of bridge patterns may reduce the reliability of the semiconductor device. A semiconductor device has a first group containing a predetermined number of the bridge patterns spaced at a first interval and a second group containing a predetermined number of the bridge patterns spaced at the first interval, the second group being located at a second interval from the first group. The second interval is larger than the first interval.
    Type: Application
    Filed: March 11, 2014
    Publication date: September 18, 2014
    Applicant: RENESAS ELECTRONICS CORPORATION
    Inventors: Takumi SAITO, Masayuki Hiroi
  • Patent number: 8839176
    Abstract: A semiconductor integrated circuit and a pattern lay-outing method for the same are disclosed, which can suppress bending or partial drop-out of a dummy pattern even when a mechanical stress acts on the dummy pattern in CMP. The semiconductor integrated circuit includes predetermined functional areas and a dummy pattern formed in a space area. The space area is positioned between predetermined functional areas. The dummy pattern includes a first metal portion formed in the shape of a frame and defining an outer edge of the dummy pattern, a second metal portion positioned on an inner periphery side of the first metal portion and formed so as to be continuous with the first metal portion, and a plurality of non-forming areas positioned in an area where the second metal portion is not formed on the inner periphery side of the first metal portion.
    Type: Grant
    Filed: August 26, 2013
    Date of Patent: September 16, 2014
    Assignee: Renesas Electronics Corporation
    Inventor: Kazuya Kamon
  • Patent number: 8839184
    Abstract: Techniques for computer-assisted routing of an electronic design for a programmable target device are described herein. In an example embodiment, a computer system displays a representation of the programmable target device in a user interface. The computer system receives first user input that indicates a first component in the electronic design for the programmable target device. The computer system determines one or more second components of the electronic design that can be routed to the first component and displays one or more visual objects that indicate the one or more second components. The computer system then receives second user input that selects a particular component from the one or more second components and stores interconnect data indicating that the first component is routed to the particular component.
    Type: Grant
    Filed: March 11, 2014
    Date of Patent: September 16, 2014
    Assignee: Cypress Semiconductor Corporation
    Inventors: Dennis Raymond Seguine, Adriy Ivanets
  • Patent number: 8839161
    Abstract: A semiconductor device includes a first semiconductor chip including a plurality of driver circuits and an output switching circuit coupled to the plurality of driver circuits. The device also includes a second semiconductor chip and a plurality of through silicon vias provided on at least one of the first and second semiconductor chips. The output switching circuit is coupled between the plurality of driver circuits and the plurality of the through silicon vias, and outputs each of signals from the plurality of driver circuits to corresponding one of the plurality of through silicon vias.
    Type: Grant
    Filed: April 29, 2013
    Date of Patent: September 16, 2014
    Assignee: PS4 Luxco S.A.R.L.
    Inventors: Kayoko Shibata, Hitoshi Miwa, Yoshihiko Inoue
  • Publication number: 20140258960
    Abstract: An approach and apparatus are provided for optimizing and combining different semiconductor technologies into a single graphic data system. Embodiments include generating a planar semiconductor layout design, generating a three-dimensional (e.g., FinFET) semiconductor layout design, and combining the planar design and the FinFET design in a common graphic data system.
    Type: Application
    Filed: March 11, 2013
    Publication date: September 11, 2014
    Applicant: GLOBALFOUNDRIES Inc.
    Inventors: Navneet Jain, Yunfei Deng, Mahbub Rashed, David Doman, Qi Xiang, Jongwook Kye
  • Publication number: 20140258952
    Abstract: An embodiment cell shift scheme includes abutting a first transistor cell against a second transistor cell and shifting a place and route boundary away from a polysilicon disposed between the first transistor cell and the second transistor cell. In an embodiment, the cell shift scheme includes shifting the place and route boundary to prevent a mismatch between a layout versus schematic (LVS) netlist and a post-simulation netlist.
    Type: Application
    Filed: March 8, 2013
    Publication date: September 11, 2014
    Applicant: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD.
    Inventors: Kuo-Nan Yang, Chou-Kun Lin, Jerry Chang-Jui Kao, Yi-Chuin Tsai, Chien-Ju Chao, Chung-Hsing Wang
  • Publication number: 20140253220
    Abstract: Embodiments may include an eFuse cell. The eFuse cell may include an eFuse having a first end and a second end. A blowFET has a first source/drain area, a second source/drain area, and a first gate. The first source/drain area is coupled to the second end of the eFuse, the second source/drain area is coupled to ground, and the first gate is coupled to a first node. The eFuse cell includes a senseFET having a third source/drain area, a fourth source/drain area, and a second gate. The second gate is coupled to the first node, and the third source/drain area is coupled to a second node. The second node is coupled to an operation signal and the second end of the eFuse. The eFuse cell includes a select eFuse logic element having an input to receive a select eFuse signal and an output coupled to the first node.
    Type: Application
    Filed: March 5, 2013
    Publication date: September 11, 2014
    Applicant: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Toshiaki Kirihata, Phil C. Paone, Vimal R. Patel, Gregory J. Uhlmann
  • Patent number: 8832631
    Abstract: High density circuit modules are formed by stacking integrated circuit (IC) chips one above another. Unused input/output (I/O) locations on some of the chips can be used to connect other I/O locations, resulting in decreased impedance between the chips. Additional apparatus, systems, and methods are disclosed.
    Type: Grant
    Filed: July 29, 2013
    Date of Patent: September 9, 2014
    Assignee: Micron Technology, Inc.
    Inventor: Paul A. Silvestri
  • Patent number: 8832629
    Abstract: A method is provided for optimising cell variant selection within a design process for an integrated circuit device. The method comprises performing cell placement and signal routing for an integrated circuit being designed using default cell layout information for cell variants of at least one cell type. The method further comprises performing cell variant optimization comprising identifying at least one cell of the at least one cell type to be substituted and substituting a default cell variant of the at least one identified cell with an alternative variant of the at least one identified cell. The method further comprises, during cell optimization, configuring a pin interconnect modification for mapping at least one pin location of the alternative variant of the at least one identified cell to at least one pin contact for the default cell layout.
    Type: Grant
    Filed: July 23, 2010
    Date of Patent: September 9, 2014
    Assignee: Freescale Semiconductor, Inc.
    Inventors: Anton Rozen, Michael Priel, Yaakov Seidenwar