Patents Assigned to Applied Material
  • Patent number: 7837790
    Abstract: Methods and apparatus for formation and treatment of epitaxial layers containing silicon and carbon are disclosed. Treatment of the epitaxial layer converts interstitial carbon to substitutional carbon. Specific embodiments pertain to the formation and treatment of epitaxial layers in semiconductor devices, for example, Metal Oxide Semiconductor Field Effect Transistor (MOSFET) devices. In specific embodiments, the treatment of the epitaxial layer involves annealing for short periods of time, for example, by laser annealing, millisecond annealing, rapid thermal annealing, and spike annealing in a environment containing nitrogen.
    Type: Grant
    Filed: December 1, 2006
    Date of Patent: November 23, 2010
    Assignee: Applied Materials, Inc.
    Inventors: Yihwan Kim, Arkadii V. Samoilov
  • Patent number: 7840375
    Abstract: A method of generating a library from a reference substrate for use in processing product wafers is described. The method includes measuring substrate characteristics at a plurality of well-defined points of a reference substrate, measuring spectra at plurality of measurement points of the reference substrate, there being more measurement points than well-defined points, and associating measured spectra with measured substrate characteristics.
    Type: Grant
    Filed: March 31, 2008
    Date of Patent: November 23, 2010
    Assignee: Applied Materials, Inc.
    Inventors: Abraham Ravid, Boguslaw A. Swedek, Dominic J. Benvegnu, Jeffrey Drue David, Jun Qian, Sidney P. Huey, Ingemar Carlsson, Lakshmanan Karuppiah, Harry Q. Lee
  • Patent number: 7838400
    Abstract: A method of manufacturing a solar cell is provided. One surface of a semiconductor substrate is doped with a n-type dopant. The substrate is then subjected to a thermal oxidation process to form an oxide layer on one or both surfaces of the substrate. The thermal process also diffuses the dopant into the substrate, smoothing the concentration profile. The smoothed concentration gradient enables the oxide layer to act as a passivating layer. Anti-reflective coatings may be applied over the oxide layers, and a reflective layer may be applied on the surface opposite the doped surface to complete the solar cell.
    Type: Grant
    Filed: July 17, 2008
    Date of Patent: November 23, 2010
    Assignee: Applied Materials, Inc.
    Inventor: Peter Borden
  • Patent number: 7837357
    Abstract: An illumination system has a light source, an optical train, and a wavelength beam splitter. The optical train focuses light from the light source into a defined geometrical pattern on a surface. The wavelength beam splitter transmits light of a first wavelength and redirects light of a second wavelength. One of these wavelengths is included by the light from the light source, while the other is an emission wavelength generated by thermal excitation of the surface by the focused geometrical pattern.
    Type: Grant
    Filed: June 30, 2006
    Date of Patent: November 23, 2010
    Assignee: Applied Materials, Inc.
    Inventors: Dean C. Jennings, Timothy N. Thomas
  • Patent number: 7838399
    Abstract: Methods for implanting ions into a substrate by a plasma immersion ion implanting process are provided. In one embodiment, a method for implanting ions into a substrate includes providing a substrate into a processing chamber, generating a plasma from a gas mixture including a reacting gas and a etching gas in the chamber, adjusting the ratio between the reacting gas and the etching gas in the supplied gas mixture and implanting ions from the plasma into the substrate. In another embodiment, the method includes providing a substrate into a processing chamber, supplying a gas mixture including reacting gas and a halogen containing reducing gas into the chamber, forming a plasma from the gas mixture, gradually increasing the ratio of the etching gas in the gas mixture, and implanting ions from the gas mixture into the substrate.
    Type: Grant
    Filed: May 15, 2007
    Date of Patent: November 23, 2010
    Assignee: Applied Materials, Inc.
    Inventors: Peter Porshnev, Majeed A. Foad
  • Patent number: 7838432
    Abstract: Methods to etch an opening in a substrate layer with reduced critical dimensions are described. A multi-layered mask including a lithographically patterned photoresist and an unpatterned organic antireflective coating (BARC) is formed over a substrate layer to be etched. The BARC layer is etched with a significant negative etch bias to reduce the critical dimension of the opening in the multi-layer mask below the lithographically define dimension in the photoresist. The significant negative etch bias of the BARC etch is then utilized to etch an opening having a reduced critical dimension into the substrate layer. To plasma etch an opening in the BARC with a significant negative etch bias, a polymerizing chemistry, such as CHF3 is employed. In a further embodiment, the polymerizing chemistry provide at low pressure is energized at a relatively low power with a high frequency capacitively coupled source.
    Type: Grant
    Filed: April 16, 2007
    Date of Patent: November 23, 2010
    Assignee: Applied Materials, Inc.
    Inventors: Judy Wang, Shin-Li Sung, Shawming Ma
  • Patent number: 7838849
    Abstract: The present invention relates to components in ion implanters having surfaces, such as graphite surfaces, adjacent to the path of the ion beam through the ion implanter. Such surfaces will be prone to sputtering, and sputtered material may become entrained in the ion beam. The present invention sees the use of surfaces that are formed so as to present a series of angled faces that meet at sharp intersections. In this way, any material will be sputtered away from the ion beam.
    Type: Grant
    Filed: October 24, 2007
    Date of Patent: November 23, 2010
    Assignee: Applied Materials, Inc.
    Inventors: Gregory Robert Alcott, Adrian Murrell
  • Patent number: 7838431
    Abstract: Methods and apparatus for processing a substrate are provided herein. In some embodiments, a method of processing a substrate may include providing a substrate having at least one of a defect or a contaminant disposed on or near a surface of the substrate; and selectively annealing a portion of the substrate with a laser beam in the presence of a process gas comprising hydrogen. The laser beam may be moved over the substrate or continuously, or in a stepwise fashion. The laser beam may be applied in a continuous wave or pulsed mode. The process gas may further comprise an inert gas, such as, at least one of helium, argon, or nitrogen. A layer of material may be subsequently deposited atop the annealed substrate.
    Type: Grant
    Filed: June 20, 2008
    Date of Patent: November 23, 2010
    Assignee: Applied Materials, Inc.
    Inventor: Errol Sanchez
  • Patent number: 7838434
    Abstract: A method of etching high dielectric constant materials using a halogen gas, a reducing gas and an etch rate control gas chemistry.
    Type: Grant
    Filed: August 14, 2006
    Date of Patent: November 23, 2010
    Assignee: Applied Materials, Inc.
    Inventors: Guangxiang Jin, Padmapani Nallan, Ajay Kumar
  • Publication number: 20100288197
    Abstract: Embodiments disclosed herein generally relate to an apparatus having an anodized gas distribution showerhead. In large area, parallel plate RF processing chambers, mastering the RF return path can be challenging. Arcing is a frequent problem encountered in RF processing chambers. To reduce arcing in RF processing chambers, straps may be coupled to the susceptor to shorten the RF return path, a ceramic or insulating or anodized shadow frame may be coupled to the susceptor during processing, and an anodized coating may be deposited onto the edge of the showerhead that is nearest the chamber walls. The anodized coating may reduce arcing between the showerhead and the chamber walls and therefore enhance film properties and increase deposition rate.
    Type: Application
    Filed: May 13, 2010
    Publication date: November 18, 2010
    Applicant: Applied Materials, Inc.
    Inventors: Soo Young Choi, Suhail Anwar, Gaku Furuta, Beom Soo Park, Robin L. Tiner, John M. White, Shinichi Kurita
  • Patent number: 7833351
    Abstract: A batch processing platform used for ALD or CVD processing is configured for high throughput and minimal footprint. In one embodiment, the processing platform comprises an atmospheric transfer region, at least one batch processing chamber with a buffer chamber and staging platform, and a transfer robot disposed in the transfer region wherein the transfer robot has at least one substrate transfer arm that comprises multiple substrate handling blades. The platform may include two batch processing chambers configured with a service aisle disposed therebetween to provide necessary service access to the transfer robot and the deposition stations. In another embodiment, the processing platform comprises at least one batch processing chamber, a substrate transfer robot that is adapted to transfer substrates between a FOUP and a processing cassette, and a cassette transfer region containing a cassette handler robot. The cassette handler robot may be a linear actuator or a rotary table.
    Type: Grant
    Filed: June 26, 2006
    Date of Patent: November 16, 2010
    Assignee: Applied Materials, Inc.
    Inventors: Aaron Webb, Adam Brailove, Joseph Yudovsky, Nir Merry, Andrew Constant, Efrain Quiles, Michael R. Rice, Gary J. Rosen, Vinay K. Shah
  • Patent number: 7833885
    Abstract: Methods for forming a microcrystalline silicon layer in a thin film transistor structure are provided. In one embodiment, a method for forming a microcrystalline silicon layer includes providing a substrate in a processing chamber, supplying a gas mixture having a hydrogen-based gas, a silicon-based gas and an argon gas into the processing chamber, the gas mixture having a volumetric flow ratio of the hydrogen-based gas to the silicon-based gas greater than about 100:1, wherein a volumetric flow ratio of the argon gas to the total combined flow of hydrogen-based gas and the silicon-based gas is between about 5 percent and about 40 percent, and maintaining a process pressure of the gas mixture within the processing chamber at greater than about 3 Torr while depositing a microcrystalline silicon layer on the substrate.
    Type: Grant
    Filed: November 26, 2008
    Date of Patent: November 16, 2010
    Assignee: Applied Materials, Inc.
    Inventors: Tae Kyung Won, Soo Young Choi, Dong Kil Yim, Jriyan Jerry Chen, Beom Soo Park
  • Patent number: 7835007
    Abstract: The present invention provides systems, apparatus and methods for detecting a film in an electronic device disposed in an electronic device processing tool. The invention includes a mounting member adapted to couple the apparatus to a view port of the electronic device processing tool, an optical energy source disposed within the mounting member and adapted to illuminate the electronic device within the electronic device processing tool, an optical system adapted to pass wavelengths indicative of a presence of the film, and an optical detector positioned to receive optical energy reflected from the substrate and passing through the optical system adapted to detect a presence or absence of the film. Numerous other features are disclosed.
    Type: Grant
    Filed: August 1, 2008
    Date of Patent: November 16, 2010
    Assignee: Applied Materials, Inc.
    Inventor: Ronald Vern Schauer
  • Patent number: 7833869
    Abstract: Methods are provided for depositing materials in forming semiconductor devices on a substrate, such as metal oxide transistors. In one embodiment, the invention generally provides a method of processing a substrate including forming a gate dielectric on a substrate having a first conductivity, forming a gate electrode on the gate dielectric, forming a first pair of sidewall spacers along laterally opposite sidewalls of the gate electrode, etching a pair of source/drain region definitions on opposite sides of the electrode, depositing a silicon carbide material selectively in the source/drain region definitions, and implanting a dopant in the deposited silicon carbide material to form a source/drain region having a second conductivity.
    Type: Grant
    Filed: July 29, 2008
    Date of Patent: November 16, 2010
    Assignee: Applied Materials, Inc.
    Inventors: Faran Nouri, Lori D. Washington, Victor Moroz
  • Patent number: 7832432
    Abstract: Embodiments described herein provide ampoule assemblies to contain, store, or dispense chemical precursors. In one embodiment, an ampoule assembly is provided which includes an ampoule containing a first material layer disposed on the outside of the ampoule and a second material layer disposed over the first material layer, wherein the first material layer is thermally more conductive than the second material layer, an inlet line in fluid communication with the ampoule and containing a first manual shut-off valve disposed therein, an outlet line in fluid communication with the ampoule and containing a second manual shut-off valve disposed therein, and a first bypass line connected between the inlet line and the outlet line. In some embodiments, the ampoule assembly may contain disconnect fittings. In other embodiments, the first bypass line has a shut-off valve disposed therein to fluidly couple or decouple the inlet line and the outlet line.
    Type: Grant
    Filed: July 9, 2009
    Date of Patent: November 16, 2010
    Assignee: Applied Materials, Inc.
    Inventors: Norman Nakashima, Christophe Marcadal, Seshadri Ganguli, Paul Ma, Schubert S. Chu
  • Patent number: 7832354
    Abstract: The disclosure concerns a wafer support for use in a plasma reactor chamber, in which the wafer support has a wafer edge gas injector adjacent and surrounding the wafer edge.
    Type: Grant
    Filed: September 5, 2007
    Date of Patent: November 16, 2010
    Assignee: Applied Materials, Inc.
    Inventors: Dan Katz, David Palagashvili, Michael D. Willwerth, Valentin N. Todorow, Alexander M. Paterson
  • Patent number: 7833358
    Abstract: A semiconductor processing chamber is cleaned by introducing a cleaning gas into a processing chamber, striking a plasma in a remote plasma source that is in communication with the processing chamber, measuring the impedance of the plasma, vaporizing a ruthenium containing deposit on a surface of the processing chamber to form a ruthenium containing gas mixture, and flowing the gas mixture through an analyzer and into an exhaust collection assembly. The measurement of the impedance of the plasma in combination with the ruthenium concentration provides an accurate indication of chamber cleanliness.
    Type: Grant
    Filed: April 7, 2006
    Date of Patent: November 16, 2010
    Assignee: Applied Materials, Inc.
    Inventors: Schubert S. Chu, Frederick C. Wu, Christophe Marcadal, Seshadri Ganguli, Dien-Yeh Wu, Kavita Shah, Paul Ma
  • Patent number: 7833401
    Abstract: A method of forming a component capable of being exposed to a plasma in a process chamber comprises forming a structure comprising a surface and electroplating yttrium, and optionally aluminum or zirconium, onto the surface. Thereafter, the electroplated layer can be annealed to oxide the yttrium and other electroplated species.
    Type: Grant
    Filed: June 21, 2007
    Date of Patent: November 16, 2010
    Assignee: Applied Materials, Inc.
    Inventors: Nianci Han, Li Xu, Hong Shih, Yang Zhang, Danny Lu, Jennifer Y. Sun
  • Patent number: 7834994
    Abstract: An apparatus and method incorporating at least two sensors that detect the presence of a substrate is provided. In one embodiment, a method for transferring a substrate in a processing system is described. The method includes positioning a substrate on an end effector in a first chamber, moving the substrate through an opening between the first chamber and a second chamber along a substrate travel path, and sensing opposing sides of the substrate travel path using at least two sensors positioned proximate to the opening, each of the at least two sensors defining a beam path that is directed through opposing edge regions of the substrate when at least a portion of an edge region traverses the beam path.
    Type: Grant
    Filed: October 21, 2008
    Date of Patent: November 16, 2010
    Assignee: Applied Materials, Inc.
    Inventors: William A. Bagley, Paohuei Lee, Kyung-Tae Kim, Sam-Kyung Kim, Toshio Kiyotake, Sam Kim, Takayuki Matsumoto, Jonathan Erik Larson, Makoto Inagawa, James Hoffman, Billy C. Leung
  • Publication number: 20100285240
    Abstract: Embodiments of the invention relate generally to an ultraviolet (UV) cure chamber for curing a dielectric material disposed on a substrate and to methods of curing dielectric materials using UV radiation. A substrate processing tool according to one embodiment comprises a body defining a substrate processing region; a substrate support adapted to support a substrate within the substrate processing region; an ultraviolet radiation lamp spaced apart from the substrate support, the lamp configured to transmit ultraviolet radiation to a substrate positioned on the substrate support; and a motor operatively coupled to rotate at least one of the ultraviolet radiation lamp or substrate support at least 180 degrees relative to each other.
    Type: Application
    Filed: July 22, 2010
    Publication date: November 11, 2010
    Applicant: Applied Materials, Inc
    Inventors: Juan Carlos Rocha-Alvarez, Thomas Nowak, Dale R. Du Bois, Sanjeev Baluja, Scott A. Hendrickson, Dustin W. Ho, Andrzei Kaszuba, Tom K. Cho