Patents Examined by Khanh B. Duong
  • Patent number: 7601578
    Abstract: A method for improving high-? gate dielectric film (104) properties. The high-? film (104) is subjected to a two step anneal sequence. The first anneal is performed in a reducing ambient (106) with low partial pressure of oxidizer to promote film relaxation and increase by-product diffusion and desorption. The second anneal is performed in an oxidizing ambient (108) with a low partial pressure of reducer to remove defects and impurities.
    Type: Grant
    Filed: October 25, 2007
    Date of Patent: October 13, 2009
    Assignee: Texas Instruments Incorporated
    Inventors: Luigi Colombo, James J. Chambers, Mark R. Visokay, Antonio Luis Pacheco Rotondaro
  • Patent number: 7598155
    Abstract: A method of manufacturing an overlay mark is provided. Two first X-direction isolation structures, two first Y-direction isolation structures, two second X-direction isolation structures, and two second Y-direction isolation structures are formed in a substrate, where the first X-direction isolation structures and the first Y-direction isolation structures are arranged to a first rectangle, and the second X-direction isolation structures and the second Y-direction isolation structures are arranged to a second rectangle. The second rectangle is located in the first rectangle. A first dielectric layer and a conductive layer are formed sequentially on the substrate. A planarization process is performed to remove a portion of the conductive layer till the isolation structures are exposed. A second dielectric layer is formed on the substrate. A rectangle pattern is formed on the second dielectric layer. The sides of the rectangle pattern are located above the isolation structures.
    Type: Grant
    Filed: April 29, 2008
    Date of Patent: October 6, 2009
    Assignee: Winbond Electronics Corp.
    Inventors: Min-Hung Chen, Kao-Tsair Tsai
  • Patent number: 7595499
    Abstract: A method for forming a strained layer of semiconductor material, e.g., silicon, germanium, Group III/V, silicon germanium alloy. The method includes providing a non-deformable surface region having a first predetermined radius of curvature, which is defined by R(1) and is defined normal to the surface region. The method includes providing a first substrate (e.g., silicon wafer) having a first thickness. Preferably, the first substrate has a face, a backside, and a cleave plane defined within the first thickness. The method includes a step of overlying the backside of the first substrate on a portion of the surface region having the predetermined radius of curvature to cause a first bend within the thickness of material to form a first strain within a portion of the first thickness. The method provides a second substrate having a second thickness, which has a face and a backside.
    Type: Grant
    Filed: February 19, 2008
    Date of Patent: September 29, 2009
    Assignee: Silicon Genesis Corporation
    Inventors: Francois J. Henley, Philip James Ong, Igor J. Malik, Harry R. Kirk
  • Patent number: 7595253
    Abstract: Example embodiments provide a semiconductor device and a method of forming the same. According to the method, a capping insulation pattern may be formed to cover the top surface of a filling insulation pattern in a trench. The capping insulation pattern may have an etch selectivity according to the filling insulation pattern. As a result, the likelihood that the filling insulation layer may be etched by various cleaning processes and the process removing the buffer insulation pattern may be reduced or prevented.
    Type: Grant
    Filed: May 8, 2007
    Date of Patent: September 29, 2009
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: II-Young Yoon, Hong-Jae Shin, Nae-In Lee, Jae-Ouk Choo, Ja-Eung Koo
  • Patent number: 7579219
    Abstract: A semiconductor device includes a semiconductor die having a plurality of contact pad sites, a plurality of contact pads, an encapsulant barrier, and an encapsulant. A plurality of contact pads is in electrical contact with a predetermined corresponding different one of the contact pad sites. An encapsulant barrier is positioned at an outer perimeter of the semiconductor die. The encapsulant barrier has a height that is as high as or greater than a highest of the plurality of contact pads. The encapsulant barrier is in physical contact with a same surface of the semiconductor die as the contact pad sites. An encapsulant surrounds the semiconductor die and one side of the encapsulant barrier. The encapsulant is blocked from making physical contact with any of the plurality of contact pads by the encapsulant barrier when the device is encapsulated while being supported by a temporary base support layer.
    Type: Grant
    Filed: March 10, 2006
    Date of Patent: August 25, 2009
    Assignee: Freescale Semiconductor, Inc.
    Inventors: George R. Leal, Owen R. Fay, Robert J. Wenzel
  • Patent number: 7575963
    Abstract: First, a conductive material of aluminum-based material is deposited and patterned to form a gate wire including a gate line, a gate pad, and a gate electrode. A gate insulating layer is formed by depositing nitride silicon in the range of more than 300° C. for 5 minutes, and a semiconductor layer an ohmic contact layer are sequentially formed. Next, a conductor layer of a metal such as Cr is deposited and patterned to form a data wire include a data line intersecting the gate line, a source electrode, a drain electrode and a data pad. Then, a passivation layer is deposited and patterned to form contact holes exposing the drain electrode, the gate pad and the data pad. Next, indium zinc oxide is deposited and patterned to form a pixel electrode, a redundant gate pad and a redundant data pad respectively connected to the drain electrode, the gate pad and the data pad.
    Type: Grant
    Filed: October 18, 2007
    Date of Patent: August 18, 2009
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Hyang-Shik Kong, Myung-Koo Hur, Chi-Woo Kim
  • Patent number: 7572660
    Abstract: A method for manufacturing a micromechanical component and a micromechanical component manufactured using this method are described, the micromechanical component having a first substrate, which in turn has at least one cavity and one printed conductor. At least a part of the printed conductor is applied to at least a part of the walls of the cavity. In particular, the floor of the cavity is considered part of the cavity walls.
    Type: Grant
    Filed: December 17, 2004
    Date of Patent: August 11, 2009
    Assignee: Robert Bosch GmbH
    Inventors: Hubert Benzel, Stefan Finkbeiner, Christoph Schelling, Julian Gonska
  • Patent number: 7569877
    Abstract: A system and method for selecting nanometer-scaled devices. The method includes a plurality of semiconductor wires. Two adjacent semiconductor wires of the plurality of semiconductor wires are associated with a separation smaller than or equal to 100 nm. Additionally, the system includes a plurality of address lines. Each of the plurality of address lines includes a gate region and an inactive region and intersects the plurality of semiconductor wires at a plurality of intersections. The plurality of intersections includes a first intersection and second intersection. The first intersection is associated with the gate region, and the second intersection is associated with the inactive region.
    Type: Grant
    Filed: February 24, 2006
    Date of Patent: August 4, 2009
    Assignee: California Institute of Technology
    Inventors: James R. Heath, Yi Luo, Rob Beckman
  • Patent number: 7553707
    Abstract: The invention provides a novel technology where a TFT array substrate for a display device is formed with three photomasks. The invention is achieved by using the novel technology in combination with a well-known four-masks process. For the novel technology, during the lithography process where a photosensitive acrylic resin film is used to make contacts, taper patterns required for general through holes are formed simultaneously with a fine pattern formed in a light shielding area that is tapered more approximately to vertical, using a photomask with phase-shift effect. Thus the pixel electrode pattern can be separated without using lithography process in subsequent processes.
    Type: Grant
    Filed: February 9, 2006
    Date of Patent: June 30, 2009
    Assignees: Quanta Display, Inc., Quanta Display Japan, Inc.
    Inventors: Shigekazu Horino, Chun-hao Tung, Hsien-kai Tseng
  • Patent number: 7553695
    Abstract: Techniques are disclosed for fabricating a relatively thin package for housing a micro component, such as an opto-electronic or MEMs device. The packages may be fabricated in a wafer-level batch process. The package may include hermetically sealed feed-through electrical connections coupling the micro component to electrical contacts on an exterior surface of the package.
    Type: Grant
    Filed: March 17, 2005
    Date of Patent: June 30, 2009
    Assignee: Hymite A/S
    Inventors: Lior Shiv, Kristian Blidegn
  • Patent number: 7550325
    Abstract: A wiring line is electrically connected in parallel to an auxiliary wiring line via a plurality of contact holes. The contact holes are formed through an insulating film and arranged in vertical direction to the wiring line. Since the auxiliary wiring line is formed in the same layer as an electrode that constitutes a TFT, the electric resistance of the wiring line can be reduced effectively without increasing the number of manufacturing steps.
    Type: Grant
    Filed: July 17, 2003
    Date of Patent: June 23, 2009
    Assignee: Semiconductor Energy Laboratory Co., Ltd.
    Inventors: Jun Koyama, Hisashi Ohtani, Yasushi Ogata, Shunpei Yamazaki
  • Patent number: 7534686
    Abstract: Disclosed is a semiconductor fin construction useful in FinFET devices that incorporates an upper region and a lower region with wherein the upper region is formed with substantially vertical sidewalls and the lower region is formed with inclined sidewalls to produce a wider base portion. The disclosed semiconductor fin construction will also typically include a horizontal step region at the interface between the upper region and the lower region. Also disclosed are a series of methods of manufacturing semiconductor devices incorporating semiconductor fins having this dual construction and incorporating various combinations of insulating materials such as silicon dioxide and/or silicon nitride for forming shallow trench isolation (STI) structures between adjacent semiconductor fins.
    Type: Grant
    Filed: October 31, 2006
    Date of Patent: May 19, 2009
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Deok Hyung Lee, Byeong Chan Lee, In Soo Jung, Yong Hoon Son, Siyoung Choi, Taek Jung Kim
  • Patent number: 7534700
    Abstract: A semiconductor device in which degradation due to permeation of water and oxygen can be limited, e.g., a light emitting device having an organic light emitting device (OLED) formed on a plastic substrate, and a liquid crystal display using a plastic substrate. A layer to be debonded, containing elements, is formed on a substrate, bonded to a supporting member, and debonded from the substrate. A thin film is thereafter formed on the debonded layer. The debonded layer with the thin film is adhered to a transfer member. Cracks caused in the debonded layer at the time of debonding are thereby repaired. As the thin film in contact with the debonded layer, a film having thermal conductivity, e.g., film of aluminum nitride or aluminum nitroxide is used. This film dissipates heat from the elements and has the effect of preventing deformation and change in quality of the transfer member, e.g., a plastic substrate.
    Type: Grant
    Filed: August 11, 2005
    Date of Patent: May 19, 2009
    Assignee: Semiconductor Energy Laboratory Co., Ltd.
    Inventors: Shunpei Yamazaki, Toru Takayama, Junya Maruyama, Mayumi Mizukami
  • Patent number: 7534694
    Abstract: The invention includes methods of forming a plurality of capacitors. In one implementation, a plurality of capacitor electrode openings is formed over a substrate. Individual of the capacitor electrode openings are bounded on a first pair of opposing sides by a first capacitor electrode-forming material at one elevation and on a second pair of opposing sides by a different second capacitor electrode-forming material at the one elevation. Individual capacitor electrodes are formed within individual of the capacitor electrode openings. The capacitor electrodes are incorporated into a plurality of capacitors. Other aspects and implementations are contemplated.
    Type: Grant
    Filed: June 28, 2006
    Date of Patent: May 19, 2009
    Assignee: Micron Technology, Inc.
    Inventor: H. Montgomery Manning
  • Patent number: 7534668
    Abstract: The buried oxide region has a layer added which etches selectively with respect to oxide, allowing the contacts to a gate or to a back gate to be created without overetching into the buried oxide region.
    Type: Grant
    Filed: April 17, 2003
    Date of Patent: May 19, 2009
    Assignee: Texas Instruments Incorporated
    Inventor: Theodore W. Houston
  • Patent number: 7524756
    Abstract: A contact structure and a method of forming thereof for semiconductor devices or assemblies are described. The method provides process steps to create a contact structure encompassed by a sacrificial contact medium having an opening therein that is lined with a conductive spacer liner that effectively prevents the contact structure from being damaged during removal of the surrounding sacrificial contact medium material. The sacrificial contact medium is then replaced with a non-boron doped dielectric material.
    Type: Grant
    Filed: July 28, 2006
    Date of Patent: April 28, 2009
    Assignee: Micron Technology, Inc.
    Inventors: Grant S. Huglin, Robert J. Burke, Sanh D. Tang
  • Patent number: 7524740
    Abstract: A method of forming a localized region of relaxed Si in a layer of strained Si arranged within a strained silicon directly on insulator (SSDOI) semiconductor substrate is provided by the invention. The strained Si layer is formed on a buried oxide (BOX) layer disposed on a Si substrate base. The method includes depositing a nitride hard mask pattern above a region of the strained Si layer in which enhanced electron mobility is desired, leaving an unmasked region within the strained Si layer, and carrying out various other processing steps to modify and relax the unmasked portion of the strained region. The method includes growing an EPI SiGe region upon the unmasked region using pre-amorphization implantation, and forming a buried amorphous SiGe region in a portion of the EPI SiGe region, and an amorphous Si region, below the amorphous SiGe region. Then, using SPE regrowth, modifying the amorphous SiGe and amorphous Si regions to realize an SPE SiGe region and relaxed SPE Si layer.
    Type: Grant
    Filed: April 24, 2008
    Date of Patent: April 28, 2009
    Assignee: International Business Machines Corporation
    Inventors: Yaocheng Liu, Devendra Kumar Sadana, Kern Rim
  • Patent number: 7521308
    Abstract: A method of producing a metal oxide semiconductor field effect transistor (MOSFET) creates a transistor by patterning a gate structure over a substrate, forming spacers on sides of the gate structure, and forming conductor regions within the substrate on alternate sides of the gate stack. The gate structure and the conductor regions make up the transistor. In order to reduce high power plasma induced damage, the method initially applies a first plasma having a first power level to the transistor to form a first stress layer over the transistor. After the first lower-power plasma is applied, the method then applies a second plasma having a second power level to the transistor to from a second stress layer over the first stress layer. The second power level is higher (e.g., at least 5 times higher) than the first power level.
    Type: Grant
    Filed: December 26, 2006
    Date of Patent: April 21, 2009
    Assignee: International Business Machines Corporation
    Inventors: Deleep R. Nair, Christopher V. Baiocco, Xiangdong Chen, Junjung Kim, Jae-eun Park, Daewon Yang
  • Patent number: 7521319
    Abstract: A method of forming a gate of a flash memory device, including the steps of forming a gate on a semiconductor substrate and forming an oxide layer on the entire surface of the gate, forming a nitride layer on a sidewall of the oxide layer in a spacer form, performing a polishing process so that a top surface of the gate is exposed, and then stripping the nitride layer to form an opening, forming a barrier metal layer on a sidewall of the opening, and forming a tungsten layer in the opening.
    Type: Grant
    Filed: December 28, 2006
    Date of Patent: April 21, 2009
    Assignee: Hynix Semiconductor Inc.
    Inventors: Cheol Mo Jeong, Whee Won Cho, Jung Geun Kim, Seong Hwan Myung
  • Patent number: 7518212
    Abstract: The present invention provides a design for a PCRAM element which incorporates multiple metal-containing germanium-selenide glass layers of diverse stoichiometries. The present invention also provides a method of fabricating the disclosed PCRAM structure.
    Type: Grant
    Filed: August 3, 2005
    Date of Patent: April 14, 2009
    Assignee: Micron Technology, Inc.
    Inventors: John T. Moore, Terry L. Gilton, Kristy A. Campbell