Patents Examined by Matthew Whipple
  • Patent number: 5866476
    Abstract: A method for forming an insulating layer for a microelectronic device includes the steps of forming a conductive pattern on a surface of a microelectronic substrate, and forming a spin-on-glass layer on the surface of the microelectronic substrate covering the conductive pattern. The spin-on-glass layer is baked at a temperature in the range of 400.degree. C. to 750.degree. C., and a moisture blocking layer is formed on the baked spin-on-glass layer. By reducing moisture absorbed from the air into the spin-on-glass layer, a relatively low etch rate and a relatively low dielectric constant can be maintained for the spin-on-glass layer. Related structures are also discussed.
    Type: Grant
    Filed: March 27, 1997
    Date of Patent: February 2, 1999
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Ji-hyun Choi, Hae-Jeong Lee, Byung-Keun Hwang, Ju-Son Gou
  • Patent number: 5858809
    Abstract: A method and apparatus for providing a conductive plane beneath a suspended microstructure. A conductive region is diffused into a substrate. A dielectric layer is added, covering the substrate, and then removed from a portion of the conductive region. A spacer layer is deposited over the dielectric and exposed conductive region. A polysilicon layer is deposited over the spacer layer, and formed into the shape of the suspended microstructure. After removal of the spacer layer, the suspended microstructure is left free to move above an exposed conductive plane. The conductive plane is driven to the same potential as the microstructure.
    Type: Grant
    Filed: March 18, 1997
    Date of Patent: January 12, 1999
    Assignee: Analog Devices
    Inventors: Kevin Hin-Leung Chau, Roger T. Howe, Richard S. Payne, Yang Zhao, Theresa A. Core, Steven J. Sherman
  • Patent number: 5851856
    Abstract: After an insulating film is deposited over metal patterns, a resist film is coated over the whole surface of the insulating film until the surface of the resist film becomes flat. The resist film is removed by reactive ion etching until a partial surface area of the insulating film deposited over the metal patterns is exposed. Another photoresist film is coated on the surface to cover a part of the exposed areas of the insulating film and the resist film, exposed and developed to form a resist mask. The area not covered with the resist mask and the resist film is selectively removed by anisotropic etching. The resist mask and the resist film are removed to obtain a window having a width equal to the width of a convex of the insulating film. A method of manufacturing a semiconductor device that is capable of exposing a metal wiring layer at a high precision is provided.
    Type: Grant
    Filed: November 30, 1994
    Date of Patent: December 22, 1998
    Assignee: Yamaha Corporation
    Inventor: Masahiko Nagura
  • Patent number: 5851851
    Abstract: It is an object to provide a method of fabrication for a semiconductor acceleration sensor which can prevent destruction of a movable portion during dicing. A sacrificial layer composed of silicon oxide film is formed on a silicon substrate, and a movable member composed of polycrystalline silicon is formed on the sacrificial layer. A polyimide film is applied on the movable member at room temperature and heated to approximately 350.degree. C. to harden. The movable member is supported by this polyimide film. Accordingly, etching liquid penetration holes are formed on the polyimide film. Further, the sacrificial layer disposed between the movable member and the silicon substrate is etched away by means of dipping the silicon substrate into hydrofluoric acid-based etching liquid. Thereafter, the silicon substrate is dipped into demineralized water to replace the etching liquid with demineralized water, and subsequently the silicon substrate is dried.
    Type: Grant
    Filed: March 6, 1995
    Date of Patent: December 22, 1998
    Assignee: Nippondenso Co., Ltd.
    Inventors: Hirofumi Uenoyama, Masakazu Kanosue, Kenichi Ao, Yasutoshi Suzuki
  • Patent number: 5849644
    Abstract: The invention provides semiconductor processing methods of depositing SiO.sub.2 on a substrate. In a preferred aspect, the invention provides methods of reducing the formation of undesired reaction intermediates in a chemical vapor deposition (CVD) decomposition reaction. In one implementation, the method is performed by feeding at least one of H.sub.2 O and H.sub.2 0.sub.2 into a reactor with an organic silicon precursor. For example, in one exemplary implementation, such components are, in gaseous form, fed separately into the reactor. In another exemplary implementation, such components are combined in liquid form prior to introduction into the reactor, and thereafter rendered into a gaseous form for provision into the reactor. The invention can be practiced with or in both hot wall and cold wall CVD systems.
    Type: Grant
    Filed: August 13, 1996
    Date of Patent: December 15, 1998
    Assignee: Micron Technology, Inc.
    Inventor: Klaus F. Schuegraf
  • Patent number: 5849632
    Abstract: A method of passivating an outer portion of a semiconductor wafer comprises: a) applying and patterning a metal layer to define conductive metal runners projecting atop the wafer, the conductive metal runners projecting outwardly from the wafer at given distances; b) applying an insulating dielectric layer atop the wafer to a thickness which is greater than the given distance of a furthest projecting metal runner; c) global planarizing the insulating dielectric layer to some point on the wafer which is elevationally above the underlying conductive metal runners; the preferred method is by chemical mechanical polishing; and d) applying a planar layer of an effective mechanical protection, chemical diffusion barrier and moisture barrier material atop the globally planarized layer of insulating dielectric.
    Type: Grant
    Filed: July 22, 1992
    Date of Patent: December 15, 1998
    Assignee: Micron Technology, Inc.
    Inventors: Mark E. Tuttle, Trung Tri Doan
  • Patent number: 5846888
    Abstract: A desirable impurity, such as reactive gases and inert gases, is safely introduced into a substrate/oxide interface during high pressure thermal oxidation. Desirable impurities include chlorine, fluorine, bromine, iodine, astatine, nitrogen, nitrogen trifluoride, and ammonia. In one embodiment, the desirable impurity is introduced into a processing chamber prior to the high pressure oxidation step. Then, the temperature is brought to or maintained at an oxidation temperature. In another embodiment, the desirable impurity is introduced into the processing chamber after the high pressure oxidation step, while the temperature is still sufficiently high for oxidation. In yet another embodiment, the desirable impurity is introduced into the processing chamber both before and after the high pressure oxidation step.
    Type: Grant
    Filed: September 27, 1996
    Date of Patent: December 8, 1998
    Assignee: Micron Technology, Inc.
    Inventors: David L. Chapek, Randhir P. S. Thakur
  • Patent number: 5843838
    Abstract: A method of forming a BPSG dielectric layer on a wafer without delamination in the fabrication of an integrated circuit device wherein a BPSG deposition chamber is used is described. Semiconductor device structures are provided in and on a semiconductor substrate. The BPSG deposition chamber is cleaned according to the following steps. The deposition chamber is cleaned using a fluorine-containing gas. The fluorine-containing gas is pumped out of the deposition chamber wherein residual fluorine-containing gas remains within the deposition chamber. A plasma is flowed into the deposition chamber wherein the plasma consumes all of the residual fluorine-containing gas. The plasma is purged from the deposition chamber to complete the cleaning of the BPSG deposition chamber. Thereafter, a layer of BPSG is deposited over the semiconductor device structures wherein the BPSG layer is deposited while the wafer is within the BPSG deposition chamber.
    Type: Grant
    Filed: August 29, 1997
    Date of Patent: December 1, 1998
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: George O. Saile, Han-Chung Chen
  • Patent number: 5838035
    Abstract: A ferroelectric cell in which a ferroelectric stack of a perovskite ferroelectric sandwiched by cubic perovskite metal-oxide conductive electrodes are formed over a silicon body, such as a polysilicon plug penetrating a field oxide over a silicon transistor. According to the invention, an oxidation barrier is placed between the lower metal-oxide electrode and the polysilicon. The oxidation barrier may be: (1) a refractory metal sandwiched between two platinum layer which forms a refractory oxide in a platinum matrix; (2) an intermetallic barrier beneath a platinum electrode, e.g., of NiAl; or (3) a combination of Ru and SrRuO.sub.3 or similar materials. Thereby, the polysilicon plug is protected from oxidation.
    Type: Grant
    Filed: June 10, 1997
    Date of Patent: November 17, 1998
    Assignee: Bell Communications Research, Inc.
    Inventor: Ramamoorthy Ramesh
  • Patent number: 5834322
    Abstract: The method of this invention for heat treatment of a Si single crystal grown by the Czochralski method at a speed of pull of not less than 0.8 mm/min., characterized by heat-treating at a temperature in the range of from 1,150.degree. C. to 1,280.degree. C. a wafer cut out of the Si single crystal thereby producing a Si wafer excellent in oxide film dielectric breakdown voltage characteristic due to elimination of crystal defects. Consequently, this invention ensures production of LSI in a high yield.
    Type: Grant
    Filed: August 22, 1997
    Date of Patent: November 10, 1998
    Assignee: Shin-Etsu Handotai Co., Ltd.
    Inventors: Izumi Fusegawa, Hirotoshi Yamagishi, Nobuyoshi Fujimaki, Yukio Karasawa
  • Patent number: 5830773
    Abstract: An isolation technique is provided for improving the overall planarity of trench isolation regions relative to adjacent silicon mesas. The isolation process results in a spaced plurality of field dielectric having an upper surface substantially coplanar with each other and with adjacent silicon mesa upper surfaces. The isolation process is thereby a planarization process used with the shallow trench technique, wherein etch-enhancing ions are forwarded into the fill dielectric at upper elevational regions of that dielectric. When subjected to a subsequent etchant, the dopants cause the higher elevational regions to be removed at a faster rate than the lower elevational regions. Thus, selective placement of dopants and etch removal pre-conditions the fill dielectric upper surface to a more planar surface globally across the entire wafer.
    Type: Grant
    Filed: April 17, 1996
    Date of Patent: November 3, 1998
    Assignee: Advanced Micro Devices, Inc.
    Inventors: William S. Brennan, Robert Dawson, Fred N. Hause, Basab Bandyopadhyay, H. Jim Fulford, Jr., Mark W. Michael
  • Patent number: 5827786
    Abstract: In forming an insulating film upon a selected region of a sample, a gaseous vapor is directed over the selected region for depositing a compound of the gaseous vapor containing elements of the insulating film. A charged particle beam is directed toward the selected region in order to decompose the deposited compound and provide the desired insulating film.
    Type: Grant
    Filed: April 28, 1997
    Date of Patent: October 27, 1998
    Assignee: FEI Company
    Inventor: Joseph Puretz
  • Patent number: 5827785
    Abstract: A method and apparatus for improving film stability of a halogen-doped silicon oxide layer. The method includes the step of introducing a process gas including a first halogen source and a second halogen source, different from the first halogen source, into a deposition chamber along with silicon and oxygen sources. A plasma is then formed from the process gas to deposit a halogen-doped layer over a substrate disposed in the chamber. It is believed that the introduction of the additional halogen source enhances the etching effect of the film. The enhanced etching component of the film deposition improves the film's gap-fill capabilities and helps stabilizes the film. In a preferred embodiment, the halogen-doped film is a fluorosilicate glass film, SiF.sub.4 is employed as the first halogen source, TEOS is employed as a source of silicon and the second halogen source is either F.sub.2 or NF.sub.3.
    Type: Grant
    Filed: October 24, 1996
    Date of Patent: October 27, 1998
    Assignee: Applied Materials, Inc.
    Inventors: Mohan Krishan Bhan, Sudhakar Subrahmanyam, Anand Gupta, Virendra V. S. Rana
  • Patent number: 5821171
    Abstract: A high quality interface between a GaAs-based semiconductor and a Ga.sub.2 O.sub.3 dielectric an be formed if the semiconductor surface is caused to have less than 1% of a monolayer impurity coverage at completion of the first monolayer of the Ga.sub.2 O.sub.3 on the surface. This is achieved, for instance, by preparing the surface of a GaAs wafer under UHV conditions in a first growth chamber, transferring the wafer through a transfer module under UHV to a second growth chamber that is also under UHV, and growing the dielectric by evaporation of Ga.sub.2 O.sub.3 from a solid source, the process carried out such that the integrated impurity exposure of the surface is at most 100 Langmuirs. Articles according to the invention have low interface state density (<10.sup.11 /cm.sup.2 .multidot.eV) and interface recombination velocity (<10.sup.4 cm/s). Semiconductor/Ga.sub.2 O.sub.3 structures according to the invention can be used advantageously in a variety of electronic or optoelectronic devices, e.g.
    Type: Grant
    Filed: March 22, 1995
    Date of Patent: October 13, 1998
    Assignee: Lucent Technologies Inc.
    Inventors: Minghwei Hong, Jueinai Raynien Kwo, Joseph Petrus Mannaerts, Matthias Passlack, Fan Ren, George John Zydzik
  • Patent number: 5821163
    Abstract: A method for preventing oxygen microloading of an SOG layer. In one embodiment of the present invention, hydrogen is introduced into an etching environment. An etching step is then performed within the etching environment. During the etching step an SOG layer overlying a TEOS layer is etched until at least a portion of the underlying TEOS layer is exposed. The etching step continues and etches at least some of the exposed portion of the TEOS layer. During etching, the etched TEOS layer releases oxygen. The hydrogen present in the etching environment scavenges the released oxygen. As a result, the released oxygen does not microload the SOG layer. Thus, the etchback rate of the SOG layer is not significantly affected by the released oxygen, thereby allowing for controlled etchback of the SOG layer.
    Type: Grant
    Filed: February 13, 1996
    Date of Patent: October 13, 1998
    Assignee: VLSI Technology, Inc.
    Inventors: Ian Robert Harvey, Calvin Todd Gabriel
  • Patent number: 5821175
    Abstract: An apparatus and method for removing surface contaminants from a surface of a substrate provides a laminar flow of inert gas over the substrate surface while irradiating the substrate. The invention enables removal of surface contaminants without altering the underlying molecular crystal structure of the substrate. The source of high-energy irradiation includes a pulsed or continuous wave laser or high-energy lamp.
    Type: Grant
    Filed: November 9, 1994
    Date of Patent: October 13, 1998
    Assignee: Cauldron Limited Partnership
    Inventor: Audrey C. Engelsberg
  • Patent number: 5817581
    Abstract: Disclosed is a reproducible process for making an SiO.sub.2 layer by thermal oxidation which assures an extremely uniform thickness of the SiO.sub.2 layer of approximately 1%. The process of the invention comprises the steps growing an initial layer of SiO.sub.2 to a defined minimal thickness by dry oxidation and increasing the thickness of the initial layer by simultaneous wet and dry oxidation until the desired final thickness is reached.
    Type: Grant
    Filed: August 26, 1996
    Date of Patent: October 6, 1998
    Assignee: International Business Machines Corporation
    Inventors: Thomas Bayer, Johann Greschner, Klaus Meissner
  • Patent number: 5817534
    Abstract: The invention is carried out in a plasma reactor for processing a semiconductor wafer, the plasma reactor having a chamber for containing a processing gas and having a conductor connected to an RF power source for coupling RF power into the reactor chamber to generate from the processing gas a plasma inside the chamber, the chamber containing at least one surface exposed toward the plasma and susceptible to contamination by particles produced during processing of the wafer, the invention being carried out by promoting, during processing of the wafer, bombarding of particles from the plasma onto the one surface to remove therefrom contaminants deposited during processing of the wafer. Such promoting of bombarding is carried out by providing an RF power supply and coupling, during processing of the wafer, RF power from the supply to the one surface. The coupling may be performed by a capacitive cleaning electrode adjacent the one surface, the capacitive cleaning electrode connected to the RF power supply.
    Type: Grant
    Filed: December 4, 1995
    Date of Patent: October 6, 1998
    Assignee: Applied Materials, Inc.
    Inventors: Yan Ye, Hiroji Hanawa, Diana Xiaobing Ma, Gerald Zheyao Yin, Peter Loewenhardt, Donald Olgado, James Papanu, Steven S.Y. Mak
  • Patent number: 5807792
    Abstract: A method and apparatus for forming a multi-constituent device layer on a wafer surface are disclosed. The multi-constituent device layer is formed by flowing a first chemistry comprising a first constituent and a second chemistry comprising a second constituent via a segmented delivery system into a reaction chamber. The reaction chamber comprises a susceptor for supporting and rotating the wafers. The segmented delivery system comprises alternating first and second segments into which the first and second chemistries, respectively, are flowed. The first segments comprise an area that is greater than an area of the second segments by an amount sufficient to effectively reduce the diffusion path of the first constituent. Reducing the diffusion path of the first constituent results in a more uniform distribution of the first constituent within the device layer.
    Type: Grant
    Filed: December 18, 1996
    Date of Patent: September 15, 1998
    Assignee: Siemens Aktiengesellschaft
    Inventors: Matthias Ilg, Markus Kirchhoff, Christoph Werner
  • Patent number: 5807785
    Abstract: An improved sandwich layer of silicon dioxide layers for gap filling between metal lines. This is accomplished using a first layer formed in a PECVD process using TEOS and a fluorine-containing compound to give a barrier layer with a dielectric constant of less than 4.0, preferably approximately 3.5. Subsequently, an SACVD process is used with TEOS to form a gap filling layer. By appropriately choosing the thickness of the respective layers, one can adjust the dielectric to a value which is a combination of the dielectric constants of the two different layers, preferably giving a dielectric constant of approximately 3.6-3.7.
    Type: Grant
    Filed: August 2, 1996
    Date of Patent: September 15, 1998
    Assignee: Applied Materials, Inc.
    Inventor: Tirunelveli S. Ravi