Patents by Inventor Chanro Park

Chanro Park has filed for patents to protect the following inventions. This listing includes patent applications that are pending as well as patents that have already been granted by the United States Patent and Trademark Office (USPTO).

  • Publication number: 20220375788
    Abstract: A semiconductor device includes one or more fins extending from a substrate, the one or more fins having source/drain epitaxial grown material (S/D epitaxy) thereon that merges one or more fins, a gate formed over the one or more fins, the gate including high k metal gate disposed between gate spacers and a metal liner over the S/D epitaxy and sides of the gate spacers. The gate includes a self-aligned contact cap over the HKMG and the metal liner.
    Type: Application
    Filed: August 4, 2022
    Publication date: November 24, 2022
    Inventors: Andrew Greene, Ruilong Xie, Laertis Economikos, Veeraraghavan S. Basker, Chanro Park, Hui Zang
  • Patent number: 11476163
    Abstract: A method for manufacturing a vertical transistor device includes forming a plurality of fins on a substrate, and forming a gate dielectric layer on the fins and on the substrate adjacent the fins. In the method, one or more sacrificial layers are formed on the gate dielectric layer, and portions of the gate dielectric layer and the one or more sacrificial layers are removed to define a plurality of gate regions. The method also includes depositing a dielectric fill layer in gaps left by the removed gate dielectric and sacrificial layers, and selectively removing the remaining portions of the one or more sacrificial layers to form a plurality of vacant areas in the gate regions. First and second gate structures are respectively formed in first and second vacant areas of the plurality of vacant areas. The first and second gate structures are recessed to a uniform height.
    Type: Grant
    Filed: April 17, 2020
    Date of Patent: October 18, 2022
    Assignee: International Business Machines Corporation
    Inventors: Ruilong Xie, Chanro Park, Sung Dae Suk, Heng Wu
  • Patent number: 11456181
    Abstract: A first mask layer is formed on top of a semiconductor substrate. A mandrel material is formed perpendicular to the first mask layer. A second mask layer is formed on one or more exposed surfaces of the mandrel material. The mandrel material is removed. A pattern of the first mask layer and the second mask layer is transferred into the semiconductor substrate.
    Type: Grant
    Filed: July 8, 2020
    Date of Patent: September 27, 2022
    Assignee: International Business Machines Corporation
    Inventors: Kangguo Cheng, Chanro Park, Ruilong Xie, Juntao Li
  • Patent number: 11443982
    Abstract: A semiconductor device includes one or more fins extending from a substrate, the one or more fins having source/drain epitaxial grown material (S/D epitaxy) thereon that merges one or more fins, a gate formed over the one or more fins, the gate including high k metal gate disposed between gate spacers and a metal liner over the S/D epitaxy and sides of the gate spacers. The gate includes a self-aligned contact cap over the HKMG and the metal liner.
    Type: Grant
    Filed: November 8, 2018
    Date of Patent: September 13, 2022
    Assignee: International Business Machines Corporation
    Inventors: Andrew Greene, Ruilong Xie, Laertis Economikos, Veeraraghavan S. Basker, Chanro Park, Hui Zang
  • Patent number: 11437489
    Abstract: RMG techniques for VFET formation using a chamfering process are provided. In one aspect, a method of forming a VFET device includes: patterning fins adjacent to one another in a substrate; forming bottom source/drains at a base of the fins; forming bottom spacers over the bottom source/drains; forming sacrificial gates alongside the fins; forming top source/drains at a top of the fins; forming top spacers surrounding the top source/drains; removing the sacrificial gates; depositing a high-? gate dielectric along sidewalls of the fins; removing the high-? gate dielectric from an opening between adjacent top spacers; depositing at least a first workfunction-setting metal layer onto the high-? gate dielectric; removing the first workfunction-setting metal layer from the opening between the adjacent top spacers; and depositing at least a second workfunction-setting metal layer onto the first workfunction-setting metal layer to form replacement metal gates. A VFET device is also provided.
    Type: Grant
    Filed: September 27, 2019
    Date of Patent: September 6, 2022
    Assignee: International Business Machines Corporation
    Inventors: Ruilong Xie, Heng Wu, Chanro Park, Kangguo Cheng
  • Publication number: 20220254996
    Abstract: An resistive random-access memory (RRAM) device including an first crystalline semiconductor layer disposed adjacent to a crystalline semiconductor substrate, a crystal lattice edge-dislocation segment disposed at an interface of the first crystalline semiconductor layer and crystalline semiconductor substrate, the lattice edge-dislocation segment including first and second segment ends, a first ion-source electrode disposed upon the electrically isolating spacer, adjacent to the crystalline substrate and first crystalline semiconductor layer, and further disposed in contact with the first segment end of the lattice edge-dislocation segment, and a second electrode disposed upon the electrically isolating spacer, adjacent to the crystalline substrate and first crystalline semiconductor layer, and further disposed in contact with the second segment end of the lattice edge-dislocation segment.
    Type: Application
    Filed: February 8, 2021
    Publication date: August 11, 2022
    Inventors: Kangguo Cheng, Chanro Park, Juntao Li, Ruilong Xie
  • Patent number: 11410879
    Abstract: Integrated chips and methods of forming the same include forming a conductive layer over a lower conductive line. The conductive layer is etched to form a via on the lower conductive line. A first insulating layer is formed around the via. The first insulating layer is etched back to a height below a height of the via. An upper conductive line is formed on the via, making contact with at least a top surface and a side surface of the via.
    Type: Grant
    Filed: April 7, 2020
    Date of Patent: August 9, 2022
    Assignee: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Chanro Park, Koichi Motoyama, Kenneth Chun Kuen Cheng, Chih-Chao Yang
  • Publication number: 20220238386
    Abstract: A method, apparatus, and manufacturing system are disclosed herein for a vertical field effect transistor patterned in a self-aligned process. A plurality of fins is formed. A gate structure is formed on at least a first side and a second side of a lower portion of each fin. A spacer is formed on at least a first side and a second side of an upper portion of each fin. At least one layer is formed above the substrate and between the fins. An opening is formed in the at least one layer between the fins by an etching process. The spacer protects the gate structure during the etching process.
    Type: Application
    Filed: April 18, 2022
    Publication date: July 28, 2022
    Applicant: GLOBALFOUNDRIES INC.
    Inventors: Chanro Park, Ruilong Xie, Min Gyu Sung
  • Publication number: 20220231020
    Abstract: An embodiment of the invention may include a forkFET semiconductor structure, and the method of forming said structure. The structure may include a first FET device and a second FET device separated by a vertical dielectric pillar. The first FET device may include a first plurality of horizontal sheet channels. The second FET device may include a second plurality of horizontal sheet channels. The first plurality of horizontal sheet channels contains more horizontal sheets than the second plurality of horizontal sheet channels. This may enable adjustment of Weff for different devices on different sides of the pillar or different thicknesses of dielectrics used for the device.
    Type: Application
    Filed: January 21, 2021
    Publication date: July 21, 2022
    Inventors: Julien Frougier, Ruilong Xie, Kangguo Cheng, Chanro Park
  • Patent number: 11380581
    Abstract: A method of fabricating an interconnect structure of a semiconductor device is provided having a first conductive line and forming a second conductive line over the first conductive line. A via opening is formed in the second conductive line, and the via opening is aligned over the first conductive line. The via opening is filled with a conductive material to form an interconnect via and an upper portion of the interconnect via forms a portion of the second conductive line.
    Type: Grant
    Filed: November 9, 2018
    Date of Patent: July 5, 2022
    Assignee: GLOBALFOUNDRIES U.S. Inc.
    Inventors: Andre P. Labonte, Catherine B Labelle, Chanro Park
  • Publication number: 20220208981
    Abstract: An embodiment includes a method of forming a semiconductor device and the resulting device. The method may include forming a source/drain on an exposed portion of a semiconductor layer of a layered nanosheet. The method may include forming a sacrificial material on the source/drain. The method may include forming a dielectric layer covering the sacrificial material. The method may include replacing the sacrificial material with a contact liner. The semiconductor device may include a first gate nanosheet stack and second gate nanosheet stack. The semiconductor device may include a first source/drain in contact with the first nanosheet stack and a second source/drain in contact with the second nanosheet stack. The semiconductor device may include a source/drain dielectric located between the first source/drain and the second source/drain. The semiconductor device may include a contact liner in contact with the first source/drain, the second source/drain and the source/drain dielectric.
    Type: Application
    Filed: December 31, 2020
    Publication date: June 30, 2022
    Inventors: Julien Frougier, Ruilong Xie, Kangguo Cheng, Chanro Park
  • Publication number: 20220189826
    Abstract: A method is presented for back-end-of-the-line (BEOL) metallization with lines formed by subtractive patterning and vias formed by damascene processes. The method includes depositing a dielectric layer over a conductive layer formed over a substrate, forming spacers surrounding mandrel sections formed over the dielectric layer, selectively depositing gap fill material adjacent the spacers, selectively removing the spacers, etching the dielectric layer and the conductive layer to expose a top surface of the substrate, depositing and planarizing an inter-layer dielectric, selectively forming openings in the dielectric layer, and filling the openings with a conductive material to define metal vias.
    Type: Application
    Filed: March 7, 2022
    Publication date: June 16, 2022
    Inventors: Chanro Park, Kenneth Chun Kuen Cheng, Koichi Motoyama, Brent Anderson, Somnath Ghosh
  • Publication number: 20220157816
    Abstract: A fin stack including compressively strained and tensile-strained semiconductor fin regions allows CMOS fabrication to form vertically stacked p-type FinFETs and n-type FinFETs. Aspect ratio trapping within a semiconductor base region within the fin stack provides a relaxed semiconductor base region on which uniaxially strained regions are grown. A dielectric layer may be formed to electrically isolate the compressively strained semiconductor fin region from the tensile-strained semiconductor fin region.
    Type: Application
    Filed: November 13, 2020
    Publication date: May 19, 2022
    Inventors: Kangguo Cheng, Julien Frougier, Ruilong Xie, Chanro Park
  • Patent number: 11328954
    Abstract: Embodiments of the present invention disclose a method forming a via and a trench. By utilizing a first etching process, a first metal layer of a multi-layered device to form a via, wherein the multi-layered device comprises the first metal layer and a second metal layer, wherein the first metal layer is formed directly on top of the second metal layer, wherein the second metal layer acts as an etch stop for the first etching process, wherein the first etching process does not affect the second metal layer. By utilizing a second etching process, the second metal layer of the multi-layered device to form a trench, wherein first metal layer is not affected by the second etching process, wherein the first etching process and the second etching process are two different etching process.
    Type: Grant
    Filed: March 13, 2020
    Date of Patent: May 10, 2022
    Assignee: International Business Machines Corporation
    Inventors: Yann Mignot, Chanro Park, Chih-Chao Yang, Injo Ok, Hsueh-Chung Chen
  • Patent number: 11315872
    Abstract: Embodiments of the invention include a method for fabricating a semiconductor device and the resulting structure. Mandrels are patterned on a liner, where the liner is located on a semiconductor substrate. Spacers are formed on sidewalls of the mandrels. Dielectric material lines are formed on exposed surfaces of the liner and within a plurality of gaps between the spacers. The mandrels are removed. The at least one of the dielectric material lines are removed from within at least one of the plurality of gaps between the spacers. Conductive metal is formed within each gap. The conductive metal is patterned to form metal interconnect lines and vias. The plurality of spacers and the remaining dielectric material lines are removed.
    Type: Grant
    Filed: December 10, 2020
    Date of Patent: April 26, 2022
    Assignee: International Business Machines Corporation
    Inventors: Chanro Park, Koichi Motoyama, Kenneth Chun Kuen Cheng, Kisik Choi, Chih-Chao Yang
  • Patent number: 11315799
    Abstract: Techniques are provided to fabricate semiconductor devices. For example, a method includes forming an interconnect structure having a base, a first conductive metal layer disposed on the base; and a first hardmask layer disposed on the first conductive metal layer. Metal lines are formed by subtractive etching. The metal lines have negative tapered sidewalls, and an opening is formed between adjacent metal lines. A first interlevel dielectric layer is deposited in the openings. A portion of the first interlevel dielectric layer is removed to form trench openings having positive tapered sidewalls. A dielectric layer is deposited in one of the openings. A liner layer and a second conductive metal layer are deposited in the other trench openings. The liner layer and the second conductive metal layer are recessed. A second hardmask layer is deposited on a top surface of the liner layer and the second conductive metal layer.
    Type: Grant
    Filed: December 24, 2020
    Date of Patent: April 26, 2022
    Assignee: International Business Machines Corporation
    Inventors: Ruilong Xie, Chanro Park, Chih-Chao Yang, Kangguo Cheng, Juntao Li
  • Patent number: 11309220
    Abstract: A method, apparatus, and manufacturing system are disclosed herein for a vertical field effect transistor patterned in a self-aligned process. A plurality of fins is formed. A gate structure is formed on at least a first side and a second side of a lower portion of each fin. A spacer is formed on at least a first side and a second side of an upper portion of each fin. At least one layer is formed above the substrate and between the fins. An opening is formed in the at least one layer between the fins by an etching process. The spacer protects the gate structure during the etching process.
    Type: Grant
    Filed: August 14, 2017
    Date of Patent: April 19, 2022
    Assignee: GLOBALFOUNDRIES INC.
    Inventors: Chanro Park, Ruilong Xie, Min Gyu Sung
  • Patent number: 11289375
    Abstract: Interconnect structures and methods for forming the interconnect structures generally include forming a dielectric layer over a substrate. The dielectric layer includes a dielectric layer top surface. A metal line is formed in the dielectric layer. The metal line includes a sacrificial upper region and a lower region. The sacrificial upper region is formed separately from the lower region and the lower region includes a lower region top surface positioned below the dielectric layer top surface. The sacrificial upper region is removed, thereby exposing the lower region top surface and forming a trench defined by the lower region top surface and sidewalls of the dielectric layer. An interconnect structure is deposited such that at least a portion of the interconnect structure fills the trench, thereby defining a fully aligned top via.
    Type: Grant
    Filed: March 23, 2020
    Date of Patent: March 29, 2022
    Assignee: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Chanro Park, Kenneth Chun Kuen Cheng, Koichi Motoyama, Chih-Chao Yang
  • Patent number: 11282838
    Abstract: An embodiment of the invention may include a semiconductor structure and method of manufacturing. The semiconductor structure may include a top channel and a bottom channel, wherein the top channel includes a plurality of vertically oriented channels. The bottom channel includes a plurality of horizontally oriented channels. The semiconductor structure may include a gate surrounding the top channel and the bottom channel. The semiconductor structure may include spacers located on each side of the gate. A first spacer includes a dielectric material located between the plurality of vertically oriented channels. A second spacer includes a dielectric material located between the plurality of horizontally oriented channels. This may enable spacer formation between the vertical spacers.
    Type: Grant
    Filed: July 9, 2020
    Date of Patent: March 22, 2022
    Assignee: International Business Machines Corporation
    Inventors: Chen Zhang, Dechao Guo, Junli Wang, Ruilong Xie, Kangguo Cheng, Juntao Li, Chanro Park, Ruqiang Bao, Sung Dae Suk, Lan Yu, Heng Wu
  • Patent number: 11270913
    Abstract: A method is presented for back-end-of-the-line (BEOL) metallization with lines formed by subtractive patterning and vias formed by damascene processes. The method includes depositing a dielectric layer over a conductive layer formed over a substrate, forming spacers surrounding mandrel sections formed over the dielectric layer, selectively depositing gap fill material adjacent the spacers, selectively removing the spacers, etching the dielectric layer and the conductive layer to expose a top surface of the substrate, depositing and planarizing an interlayer dielectric, selectively forming openings in the dielectric layer, and filling the openings with a conductive material to define metal vias.
    Type: Grant
    Filed: April 28, 2020
    Date of Patent: March 8, 2022
    Assignee: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Chanro Park, Kenneth Chun Kuen Cheng, Koichi Motoyama, Brent Anderson, Somnath Ghosh