Patents by Inventor Julien Frougier

Julien Frougier has filed for patents to protect the following inventions. This listing includes patent applications that are pending as well as patents that have already been granted by the United States Patent and Trademark Office (USPTO).

  • Publication number: 20230207563
    Abstract: A complementary metal-oxide-semiconductor field effect transistor structure (C-MOSFET) includes a substrate; a dielectric pillar that is embedded in and recessed into the substrate; a gate pillar that contacts the dielectric pillar and protrudes from the substrate; a first stack of semiconductor nanosheets that protrude from a first side of the gate pillar; and a second stack of semiconductor nanosheets that protrude from a second side of the gate pillar, opposite the first side.
    Type: Application
    Filed: December 29, 2021
    Publication date: June 29, 2023
    Inventors: Kangguo Cheng, Julien Frougier, Ruilong Xie
  • Publication number: 20230207622
    Abstract: Vertically stacked, buried power rails are electrically connected to wrap-around contacts or other electrically conductive liners on transistor source/drain regions. The buried power rails are electrically isolated from each other by an electrical insulator. Wrap-around contacts can be electrically connected to different ones of the vertically stacked, buried power rails or to the same buried power rail.
    Type: Application
    Filed: December 23, 2021
    Publication date: June 29, 2023
    Inventors: HUIMEI ZHOU, Ruilong Xie, Julien Frougier, MIAOMIAO WANG
  • Patent number: 11688741
    Abstract: A semiconductor device is provided. The semiconductor device includes a semiconductor substrate; a transistor stack structure formed on the semiconductor substrate, the transistor stack structure including a first FET and a second FET, where the first FET is a different polarity than the second FET; a first source-drain epitaxial layer of the first FET formed directly on the substrate adjacent to the first FET; and a second source-drain epitaxial layer of the second FET formed on the substrate adjacent to the second FET, wherein a bottom dielectric isolation layer is formed between the substrate and the second epitaxial layer.
    Type: Grant
    Filed: March 26, 2021
    Date of Patent: June 27, 2023
    Assignee: International Business Machines Corporation
    Inventors: Andrew M. Greene, Julien Frougier, Jingyun Zhang, Sung Dae Suk, Veeraraghavan S. Basker, Ruilong Xie
  • Patent number: 11688626
    Abstract: Embodiments of the present invention are directed to a semiconductor structure and a method for forming a semiconductor structure having a self-aligned dielectric pillar for reducing trench silicide-to-gate parasitic capacitance. In a non-limiting embodiment of the invention, a nanosheet stack is formed over a substrate. A dielectric pillar is positioned adjacent to the nanosheet stack and on a shallow trench isolation region of the substrate. The nanosheet stack is recessed to expose a surface of the shallow trench isolation region and a source or drain (S/D) region is formed on the exposed surface of the shallow trench isolation region. A contact trench is formed that exposes a surface of the S/D region and a surface of the dielectric pillar.
    Type: Grant
    Filed: October 8, 2021
    Date of Patent: June 27, 2023
    Assignee: International Business Machines Corporation
    Inventors: Ruilong Xie, Kangguo Cheng, Julien Frougier
  • Publication number: 20230197607
    Abstract: Semiconductor devices and methods of forming the same include forming a multilayer dielectric structure, including a first dielectric layer and a second dielectric layer, between dielectric lines. Exposed portions of the first dielectric layer are etched away, leaving remnants between the second dielectric layer and the dielectric lines, to decrease a width of the multilayer dielectric structure. Conductive lines are formed between the dielectric lines, on respective sides of the multilayer dielectric structure.
    Type: Application
    Filed: December 17, 2021
    Publication date: June 22, 2023
    Inventors: Ruilong Xie, Heng Wu, Julien Frougier, Min Gyu Sung
  • Patent number: 11682715
    Abstract: Fabricating a nanosheet transistor includes receiving a substrate structure having a set of nanosheet layers stacked upon a substrate, the set of nanosheet layers including at least one silicon (Si) layer, at least one silicon-germanium (SiGe) layer, a fin formed in the nanosheet layers, a gate region formed within the fin, and a trench region adjacent to the fin. A top sacrificial spacer is formed upon the fin and the trench region and etched to form a trench in the trench region. An indentation is formed within the SiGe layer in the trench region, and a sacrificial inner spacer is formed within the indentation. A source/drain (S/D) region is formed within the trench. The sacrificial top spacer and sacrificial inner spacer are etched to form an inner spacer cavity between the S/D region and the SiGe layer. An inner spacer is formed within the inner spacer cavity.
    Type: Grant
    Filed: September 2, 2021
    Date of Patent: June 20, 2023
    Assignee: Tessera LLC
    Inventors: Kangguo Cheng, Julien Frougier, Nicolas Loubet
  • Publication number: 20230187514
    Abstract: Embodiments of the present invention are directed to processing methods and resulting structures for co-integrating gate-all-around (GAA) nanosheets and comb-nanosheets on the same chip, wafer, or substrate. In a non-limiting embodiment of the invention, a GAA nanosheet device is formed in a first region of a substrate. The GAA nanosheet device includes a first nanosheet stack, a second nanosheet stack, and a first fin spacing distance between the first nanosheet stack and the second nanosheet stack. A comb-nanosheet device is formed in a second region of a substrate. The comb-nanosheet device includes a third nanosheet stack, a fourth nanosheet stack, and a second fin spacing distance between the third nanosheet stack and the fourth nanosheet stack that is less than the first fin spacing distance.
    Type: Application
    Filed: December 13, 2021
    Publication date: June 15, 2023
    Inventors: Huimei Zhou, Julien Frougier, Nicolas Loubet, Ruilong Xie, Miaomiao Wang, Veeraraghavan S. Basker
  • Publication number: 20230187443
    Abstract: A FET channel comprises a stack of silicon nanosheets. The silicon nanosheets are oriented parallel to a planar portion of the FET in which the FET channel is formed. The FET channel also comprises a vertical blocker fin. The vertical blocker fin is attached to at least one nanosheet in the stack of nanosheets.
    Type: Application
    Filed: December 13, 2021
    Publication date: June 15, 2023
    Inventors: Ruilong Xie, Chen Zhang, Heng Wu, Julien Frougier, Alexander Reznicek
  • Publication number: 20230187516
    Abstract: A gate-all-around field effect transistor device is provided. The gate-all-around field effect transistor device includes one or more channel layers on a substrate. The gate-all-around field effect transistor device further includes an inner spacer wrapped around four sides of an end portion of each of the one or more channel layers. The gate-all-around field effect transistor device further includes a portion of an inner spacer liner between a portion of an upper most channel layer and a portion of an outer spacer.
    Type: Application
    Filed: December 14, 2021
    Publication date: June 15, 2023
    Inventors: Julien Frougier, Andrew M. Greene, Ruilong Xie, Kangguo Cheng, Veeraraghavan S. Basker
  • Publication number: 20230187549
    Abstract: A semiconductor device having a self-aligned contact gate dielectric cap, or “SAC cap” over the gate stack and spacer. A SAC cap ear exists over the sidewall of a top portion of the spacer at a location where no S/D contact is formed. A method of forming the semiconductor device comprises: (i) forming gate stack; (ii) recessing ILD to create topography of the gate stack; (iii) forming selective gate cap deposition over the gate stack; and/or (iv) forming self-aligned contact with respect to the selective gate cap.
    Type: Application
    Filed: December 14, 2021
    Publication date: June 15, 2023
    Inventors: Ruilong Xie, CHANRO PARK, Julien Frougier, Kangguo Cheng, Eric Miller, Ekmini Anuja De Silva
  • Publication number: 20230187531
    Abstract: A semiconductor device includes a first gate stack disposed over an active region and a second gate stack disposed over a shallow trench isolation (STI) region such that the first gate stack is taller than the second gate stack. The second gate stack includes a plurality of gates formed over a non-active region. The nanosheet stacks in the active region include first inner spacers and second inner spacers. The first inner spacers are vertically aligned with the second inner spacers. Further, the first inner spacers directly contact lower sidewalls of a source/drain epitaxial region to isolate the second gate stack from the STI region.
    Type: Application
    Filed: December 15, 2021
    Publication date: June 15, 2023
    Inventors: Ruilong Xie, Julien Frougier, Nicolas Loubet, Lawrence A. Clevenger, PRASAD BHOSALE
  • Publication number: 20230178544
    Abstract: A CFET (complementary field effect transistor) structure including a substrate, a first CFET formed above the substrate, and a second CFET formed above the substrate. Each CFET includes a top FET and a bottom FET. Each of the top FET and bottom FET includes at least one nanosheet channel. The top FET of each CFET has a first polarity. The bottom FET of each a CFET comprises a second polarity. The top FET of the first CFET includes a first work function metal, and the top FET of the second CFET includes a second work function metal.
    Type: Application
    Filed: December 6, 2021
    Publication date: June 8, 2023
    Inventors: Julien Frougier, Nicolas Loubet, Ruilong Xie, Marc A. Bergendahl, Joshua M. Rubin
  • Publication number: 20230178553
    Abstract: A semiconductor structure is provided that includes a first FET device stacked over a second FET device, wherein the first FET device contains a first functional gate structure containing a first work function metal and the second FET device contains a second functional gate structure containing a second work function metal. In the structure, the first work function metal is absent from an area including the second work function metal, and vice versa. Thus, no shared work functional metal is present in the semiconductor structure.
    Type: Application
    Filed: December 8, 2021
    Publication date: June 8, 2023
    Inventors: Ruilong Xie, Julien Frougier, Junli Wang, Dechao Guo, Ruqiang Bao, Rishikesh Krishnan, Balasubramanian S. Pranatharthiharan
  • Publication number: 20230178587
    Abstract: An approach provides a metal-insulator-metal capacitor with a comb-like structure. The metal-insulator-metal capacitor includes a first electrode material forming a central, vertical portion of the first electrode metal and two sets of stacked horizontal portions of the first electrode metal. An insulator material surrounds the first electrode metal and exposes a top surface of the central, vertical portion of the first electrode metal. The metal-insulator-metal capacitor includes a second electrode material surrounding the insulator material. The metal-insulator-metal capacitor includes a first electrode contact connecting to the top surface of the central, vertical portion of the first electrode metal and a second electrode contact connecting to a top surface of the second electrode material.
    Type: Application
    Filed: December 5, 2021
    Publication date: June 8, 2023
    Inventors: Julien Frougier, Ruilong Xie, Veeraraghavan S. Basker, Andrew Gaul
  • Publication number: 20230180623
    Abstract: A method of manufacturing an MRAM device includes forming an MTJ stack on a substrate, forming a hardmask layer on the MTJ stack, forming etch pattern pads on the hardmask, forming a spacer on the sides of the etch pattern pads to form first openings exposing the hardmask, patterning the MTJ stack by a first etch using the first openings to form a plurality of first MTJ pillars separated by first vias, filling the first vias with a first dielectric, removing the spacers from the etch pattern pads to form a plurality of second openings between the first dielectric and the etch pattern pads, patterning the plurality of first MTJ pillars by a second etch using the second openings to form a plurality of second MTJ pillars separated by second vias and filling the second vias with a second dielectric to encapsulate the plurality of second MTJ pillars.
    Type: Application
    Filed: December 8, 2021
    Publication date: June 8, 2023
    Inventors: Heng Wu, Pouya Hashemi, Ruilong Xie, Julien Frougier
  • Publication number: 20230178539
    Abstract: A semiconductor device is provided. The semiconductor device includes a first field effect device on a first region of a substrate, wherein a first gate structure and an electrostatic discharge device on a second region of the substrate, wherein a second gate structure for the electrostatic discharge device is separated from the substrate by the bottom dielectric layer, and a second source/drain for the electrostatic discharge device is in electrical contact with the substrate, wherein the second source/drain is doped with a second dopant type.
    Type: Application
    Filed: December 8, 2021
    Publication date: June 8, 2023
    Inventors: Julien Frougier, Sagarika Mukesh, Anthony I. Chou, Andrew M. Greene, Ruilong Xie, Veeraraghavan S. Basker, Junli Wang, Effendi Leobandung, Jingyun Zhang, Nicolas Loubet
  • Publication number: 20230178620
    Abstract: A CFET (complementary field effect transistor) structure including a substrate, a first CFET formed above the substrate, and a second CFET formed above the substrate. The first CFET includes a top FET and a bottom FET. The top FET and bottom FET of the first CFET include at least one nanosheet channel. A gate affiliated with the first CFET and the second CFET devices includes a continuous horizontal dielectric over the entire length of the gate. The top FET of each CFET has a first polarity. The bottom FET of each a CFET comprises a second polarity. The top FET of the first CFET includes a first work function metal, and the top FET of the second CFET includes a second work function metal.
    Type: Application
    Filed: December 6, 2021
    Publication date: June 8, 2023
    Inventors: Julien Frougier, Ruilong Xie, Nicolas Loubet, Andrew M. Greene, Veeraraghavan S. Basker, Balasubramanian S. Pranatharthiharan
  • Publication number: 20230178422
    Abstract: An interconnect structure and a method of forming the interconnect structure are provided. The interconnect structure includes a source drain contact above and contacting a source drain region of a semiconductor device. The interconnect structure also includes a via above and contacting the source drain contact. The via includes a lower portion with an uppermost surface that contacts a lowermost surface of an interlayer dielectric.
    Type: Application
    Filed: December 8, 2021
    Publication date: June 8, 2023
    Inventors: Ruilong Xie, Julien Frougier, Nicolas Loubet, Kangguo Cheng, CHANRO PARK
  • Publication number: 20230178617
    Abstract: Semiconductor channel layers vertically aligned and stacked one on top of another, separated by a gate stack material wrapping around the semiconductor channel layers, a heavily doped p-type field effect transistor (p-FET) source drain epitaxy region adjacent to the semiconductor channel layers, a horizontal lower surface of the p-FET source drain epitaxy region is adjacent to a horizontal upper surface of an undoped silicon epitaxy. Forming a first stack, second stack and third stack of nanosheet layers on a substrate, each including alternating layers of a sacrificial and a semiconductor channel vertically aligned and stacked one on top of another, forming a first sacrificial gate across the first stack, a second sacrificial gate across the second stack and a third sacrificial gate across the third stack, forming an undoped silicon epitaxy between the first and the second stacks and between the second and the third stacks.
    Type: Application
    Filed: December 3, 2021
    Publication date: June 8, 2023
    Inventors: Julien Frougier, Andrew M. Greene, Ruilong Xie, Lan Yu, PIETRO MONTANINI
  • Publication number: 20230178618
    Abstract: A gate-all-around device includes a plurality of channel layers vertically stacked over a substrate, an inner spacer located between each of the plurality of channel layers, source/drain regions in contact with opposite ends of a first portion of the plurality of channel layers, and a first dielectric layer on opposite ends of a second portion of the plurality of channel layers located in a spacer region that is adjacent to the source/drain regions. A width of the first dielectric layer and the second portion of the plurality of channel layers is equal to a width of the inner spacer located between each of the plurality of channel layers.
    Type: Application
    Filed: December 3, 2021
    Publication date: June 8, 2023
    Inventors: Maruf Amin Bhuiyan, Julien Frougier, Ruilong Xie, Eric Miller