Stress tunable tantalum and tantalum nitride films

The present disclosure pertains to our discovery that the residual stress residing in a tantalum (Ta) film or a tantalum nitride (TaNx, where 0<x≦1.5) film can be controlled (tuned) by controlling particular process variables during deposition of the film. Process variables of particular interest during film deposition, for sputter applied Ta and TaNx films, include the following. The power to the sputtering target; the process chamber pressure (i.e. the concentration of various gases and ions present in the chamber); the substrate DC offset bias voltage (typically an increase in the AC applied substrate bias power); and, the temperature of the substrate upon which the film is being deposited. When the Ta or TaNx film is deposited using IMP sputtering, the power to the ionization coil can be used for stress tuning of the film. Of these variables, the process chamber pressure and the substrate offset bias most significantly affect the tensile and compressive stress components, respectively. The most advantageous tuning of a sputtered film is achieved using Ion Metal Plasma (IMP) as the film deposition method. This sputtering method provides for particular control over the ion bombardment of the depositing film surface. Tantalum (Ta) films deposited using the IMP method typically exhibit a residual stress ranging from about +1×10+10 dynes/cm2 (tensile stress) to about −2×10+10 dynes/cm2 (compressive stress), depending on the process variables described above. Tantalum nitride (TaNx) films deposited using the IMP method typically can be tuned to exhibit a residual stress within the same range as that specified above with reference to Ta films. We have been able to reduce the residual stress in either the Ta or TaNx films to range between about 6×10+9 and about −6×10+9 dynes/cm2 using tuning techniques described herein.

Skip to: Description  ·  Claims  · Patent History  ·  Patent History
Description
BACKGROUND OF THE INVENTION

[0001] 1. Field of the Invention

[0002] The present invention pertains to tantalum and tantalum nitride films which can be stress tuned to be in tension or in compression or to have a particularly low stress, and to a method of producing such films. These stress tuned films are particularly useful in semiconductor interconnect structures where they can be used to balance the stress within a stack of layers which includes a combination of barrier layers, wetting layers, and conductive layers, for example. Tge low stress tantalum and tantalum nitride films are particularly suited for the lining of vias and trenches having a high 1:1 aspect ratio.

[0003] 2. Brief Description of the Background Art

[0004] A typical process for producing a multilevel structure having feature sizes in the range of 0.5 micron (&mgr;m) or less would include: blanket deposition of a dielectric material; patterning of the dielectric material to form openings; deposition of a diffusion barrier layer and, optionally, a wetting layer to line the openings; deposition of a conductive material onto the substrate in sufficient thickness to fill the openings; and removal of excessive conductive material from the substrate surface using a chemical, mechanical, or combined chemical-mechanical polishing techniques. Future technological requirements have placed a focus on the replacement of aluminium (and aluminum alloys) by copper as the conductive material. As a result, there is an increased interest in tantalum nitride barrier layers and in tantalum barrier/wetting layers which are preferred for use in combination with copper.

[0005] Tantalum nitride barrier films, Ta2N and TaNx have been shown to function up to 700° C. and 750° C., respectively, without the diffusion of copper into an underlying silicon (Si) substrate. Tantalum barrier/wetting films have been shown to function at temperatures of approximately 500° C. It is advantageous in terms of processing simplicity to sputter the barrier and or wetting layers underlaying the copper. Tantalum nitride barrier layers are most commonly prepared using reactive physical sputtering, typically with magnetron cathodes, where the sputtering target is tantalum and nitrogen is introduced into the reaction chamber.

[0006] S. M. Rossnagel and J. Hopwood describe a technique which enables control of the degree of directionality in the deposition of diffusion barriers in their paper titled “Thin, high atomic weight refractory film deposition for diffusion barrier, adhesion layer, and seed layer applications” J. Vac. Sci. Technol. B 14(3), May/June 1996. In particular, the paper describes a method of depositing tantalum (Ta) which permits the deposition of the tantalum atoms on steep sidewalls of interconnect vias and trenches. The method uses conventional, non-collimated magnetron sputtering at low pressures, with improved directionality of the depositing atoms. The improved directionality is achieved by increasing the distance between the cathode and the workpiece surface (the throw) and by reducing the argon pressure during sputtering. For a film deposited with commercial cathodes (Applied Materials Endura® class; circular planar cathode with a diameter of 30 cm) and rotating magnet defined erosion paths, a throw distance of 25 cm is said to be approximately equal to an interposed collimator of aspect ratio near 1.0. In the present disclosure, use of this “long throw” technique with traditional, non-collimated magnetron sputtering at low pressures is referred to as “Gamma sputtering”.

[0007] Gamma sputtering enables the deposition of thin, conformal coatings on sidewalls of a trench having an aspect ratio of 2.8:1 for 0.5 &mgr;m-wide trench features. However, we have determined that Gamma sputtered TaN films exhibit a relatively high film residual compressive stress, in the range of about −1.0×10+10 to about −5.0×10+10 dynes/cm2. High film residual compressive stress, in the range described above can cause a Ta film or a tantalum nitride (e.g. Ta2N or TaN) film to peel off from the underlying substrate (typically silicon oxide dielectric). In the alternative, the film stress can cause feature distortion on the substrate (typically a silicon wafer) surface or even deformation of a thin wafer.

[0008] A method of reducing the residual stress in a Ta barrier/wetting film or a Ta2N or TaN barrier film would be beneficial in enabling the execution of subsequent process steps without delamination of such films from trench and via sidewalls or other interconnect features. This reduces the number of particles generated, increasing device yield during production. In addition, a film having a near zero stress condition improves the reliability of the device itself.

SUMMARY OF THE INVENTION

[0009] We have discovered that the residual stress residing in a tantalum (Ta) film or a tantalum nitride (TaNx, where 0<x≦1.5) film can be controlled (tuned) by controlling particular process variables during deposition of the film. Process variables of particular interest for sputter applied Ta and TaNx films include the following. An increase in the power to the sputtering target (typically DC) increases the compressive stress component in the film. An increase in the process chamber pressure (i.e. the concentration of various gases and ions present in the chamber) increases the tensile stress component in the film. An increase in the substrate DC offset bias voltage (typically an increase in the applied AC as substrate bias power) stress component in the film. The substrate temperature during deposition of the film also affects the film residual stress. Of these variables, an increase in the process chamber pressure-and an increase in the substrate offset bias most significantly affect the tensile and compressive stress components, respectively. The most advantageous tuning of a sputtered film is achieved using Ion Metal Plasma (IMP) as the film deposition method. This sputtering method provides for particular control over the ion bombardment of the depositing film surface. When it is desired to produce a film having minimal residual stress, particular care must be taken to control the amount of ion bombardment of the depositing film surface, as an excess of such ion bombardment can result in an increase in the residual compressive stress component in the deposited film.

[0010] Tantalum (Ta) films deposited using the IMP method typically exhibit a residual stress ranging from about +1×10+10 dynes/cm2 (tensile stress) to about −2×10+10 dynes/cm2 (compressive stress), depending on the process variables described above. Tantalum nitride (TaNx) films deposited using the IMP method typically can be tuned to exhibit a residual stress within the same range as that specified above with reference to Ta films. We have been able to reduce the residual stress in either the Ta or TaNx films to low values ranging from about +1×10+9 to about −2×10+9 dynes/cm2 using tuning techniques described herein. These film residual stress values are significantly less than observed for traditionally sputtered films and for Gamma-sputtered films. This reduction in film residual compressive stress is particularly attributed to bombardment of the film surface by IMP-generated ions during the film deposition process. Heavy bombardment of the film surface by IMP-generated ions can increase the film residual compressive stress, so when it is desired to minimize the film compressive stress, the ion bombardment should be optimized for this purpose.

[0011] Other process variables which may be used in tuning the film stress include the spacing between the sputter target and the substrate surface to be sputter deposited; ion bombardment subsequent to film deposition; and annealing of the film during or after deposition.

BRIEF DESCRIPTION OF THE DRAWINGS

[0012] FIG. 1 is a graph showing the residual stress in an IMP deposited Ta film as a function of DC power to the Ta target, RF power to the IMP ionization coil, and the pressure in the process chamber.

[0013] FIG. 2A is a contour plot showing the IMP deposited Ta film residual stress in dynes/cm2 as a function of the DC power to the Ta target and the process chamber pressure, when the RF power to the ionization coil is 1 kW.

[0014] FIG. 2B is a contour plot showing the residual stress in an IMP deposited Ta film as a function of the same variables illustrated in FIG. 2A, when the RF power to the ionization coil is 3 kW.

[0015] FIG. 3 is a graph showing the residual stress in an IMP deposited Ta film as a function of the substrate offset bias, and in particular as a function of the AC bias power (typically the AC power is coupled to the substrate through the substrate heater which is in electrical contact with the substrate).

[0016] FIG. 4 is a graph showing the chemical composition of a Gamma-sputtered tantalum nitride film, as a function of the nitrogen gas flow rate to the sputtering process chamber. In addition, FIG. 4 shows the resistivity and the structure of the tantalum nitride compound, which is in conformance with the nitrogen content of the compound.

[0017] FIG. 5 is a graph showing the film composition of a reactive IMP-deposited tantalum nitride film, as a function of the nitrogen gas flow rate to the process chamber. Again, the resistivity of the film is indicative of the various film structures created as the nitrogen content of the film is increased.

[0018] FIG. 6 is a graph showing the residual film stress for Gamma-sputtered tantalum nitride film as a function of the nitrogen gas flow rate to the sputtering process chamber and as a function of the temperature at which the film is deposited.

[0019] FIG. 7 is a graph showing the residual film stress for reactive IMP sputtered tantalum nitride film as a function of the nitrogen gas flow rate to the sputtering process chamber.

DETAILED DESCRIPTION OF THE PREFERRED EMBODIMENTS

[0020] The present invention pertains to stress tunable tantalum and tantalum nitride films and to a method of producing such films. In particular, applicants have discovered that residual film stress can be tuned by controlling particular process variables such as process chamber pressure, DC offset bias voltage, power to the sputtering target and substrate temperature during film deposition. When IMP sputtering is used, a variation in the power to the ionization coil can be used for tuning. Ion bombardment of the depositing film surface is particularly useful in controlling residual film stress.

I. Definitions

[0021] As a preface to the detailed description, it should be noted that, as used in this specification and the appended claims, the singular forms “a”, “an”, and “the” include plural referents, unless the context clearly dictates otherwise. Thus, for example, the term “a semiconductor” includes a variety of different materials which are known to have the behavioral characteristics of a semiconductor, reference to a “plasma” includes a gas or gas reactants activated by an RF glow discharge, and reference to “copper” includes alloys thereof.

[0022] Film stress values were measured using a Tencor® Flexus FLX 3200 machine available from Tencor Corporation, Mountain View, Calif.

[0023] Specific terminology of particular importance to the description of the present invention is defined below.

[0024] The term “aspect ratio” refers to the ratio of the height dimension to the width dimension of particular openings into which an electrical contact is to be placed. For example, a via opening which typically extends in a tubular form through multiple layers has a height and a diameter, and the aspect ratio would be the height of the tubular divided by the diameter. The aspect ratio of a trench would be the height of the trench divided by the minimal travel width of the trench at its base.

[0025] The term “completely filled” refers to the characteristic af a feature such as a trench or via which is filled with a conductive material, wherein there is essentially no void space present within the portion of the feature filled with conductive material.

[0026] The term “copper” refers to copper and alloys thereof, wherein the copper content of the alloy is at least 80 atomic % copper. The alloy may comprise more than two elemental components.

[0027] The term “feature” refers to contacts, vias, trenches, and other structures which make up the topography of the substrate surface.

[0028] The term “Gamma or (&ggr;) sputtered copper” refers to the “long throw” sputtering technique described in the paper by S. M. Rossnagel and J. Hopwood, which was discussed previously herein. Typically the distance between the substrate and the target is about the diameter of the substrate or greater; and, preferably, the process gas pressure is sufficiently low that the mean free path for collision within the process gas is greater than the distance between the target and the substrate.

[0029] The term “ion metal plasma” or “IMP” refer to sputter deposition, preferably magnetron sputter deposition (where a magnet array is placed behind the target). A high density, inductively coupled RF plasma is positioned between the sputtering cathode and the substrate support electrode, whereby at least a portion of the sputtered emission is in the form of ions at the time it reaches the substrate surface.

[0030] The term “IMP sputtered tantalum” refers to tantalum which was sputtered using the IMP sputter deposition method.

[0031] The term “IMP sputtered tantalum nitride” refers to tantalum nitride which was sputtered using the IMP sputter deposition method.

[0032] The term “reactive IMP sputtered tantalum nitride” refers to ion-deposition sputtering wherein nitrogen gas is supplied during the sputtering of tantalum, to react with the ionized tantalum, producing an ion-deposition sputtered tantalum nitride-comprising compound.

[0033] The term “stress tuned” refers to a TaNx or Ta film which has been treated during processing to adjust the residual stress within the deposited film to fall within a particular desired range. For example, at times it is desired to use the TaNx or Ta film to balance the overall stress within a stack of layers, so the film may be tuned to be in compression or tension. At other times it may be desired to reduce the stress in the film to be as near to zero as possible.

[0034] The term “traditional sputtering” refers to a method of forming a film layer on a substrate wherein a target is sputtered and the material sputtered from the target passes between the target and the substrate to form a film layer on the substrate, and no means is provided to ionize a substantial portion of the target material sputtered from the target before it reaches the substrate. One apparatus configured to provide traditional Sputtering is disclosed in U.S. Pat. No. 5,320,728, the disclosure of which is incorporated herein by reference. In such a traditional sputtering configuration, the percentage of target material which is ionized is less than 10 %, more typically less than 1%, of that sputtered from the target.

II. An Apparatus for Practicing the Invention

[0035] A process system in which the method of the present invention may be carried out is the Applied Materials, Inc. (Santa Clara, Calif.) Endura® Integrated Processing System. The system is shown and described in U.S. Pat. No. 5,186,718, the disclosure of which is hereby incorporated by reference.

[0036] The traditional sputtering process is well known in the art. The Gamma sputtering method is described in detail by S. M. Rossnagel and J. Hopwood in their paper titled “Thin, high atomic weight refractory film deposition for diffusion barrier, adhesion layer, and seed layer applications”, as referenced above. The IMP sputtering method is also described by S. M. Rossnagel and J. Hopwood in their paper “Metal ion deposition from ionized magnetron sputtering discharge, J. Vac. Sci. Technol. B, Vol. 12, No. 1 (January/February 1994).

III. The Structure of the Tantalum and Tantalum Nitride Films

[0037] We have been able to create a copper filled trench or via, which is completely filled, at a feature size of about 0.4&mgr; and an aspect ratio of greater than 1:1 (up to about 3:1 presently). To facilitate the use of a copper fill, the trench or via (constructed in a silicon oxide surface layer) was lined with a reactive IMP sputtered TaNx barrier layer, followed by a Ta barrier/wetting layer, to create a bilayer over the oxide surface layer. The copper fill layer was applied using a sputtering technique in the manner described in applicants' co-pending application, Attorney Docket No. 1811, filed May 13, 1997, which is hereby incorporated by reference.

[0038] To ensure the overall dimensional stability of the structure, we investigated various factors which affect the residual film stress in a TaNx barrier layer and in a Ta layer (which can serve as a barrier layer, a wetting layer, or both, depending on the application).

[0039] One skilled in the art can division a combination of a number of different layers underlaying the copper fill material. Whatever the combination of layers, they provide a stack of layers; and tuning the stress of individual layers within the stack can provide a more stress balanced and dimensionally stable stack. Although the preferred embodiment described above is for the lining of trenches and vias, one skilled in the art will appreciate that the stress tuned TaNx and Ta films described herein have general application in semiconductor interconnect structures. The method of controlling and reducing the residual film stress in tantalum nitride and tantalum films can be used to advantage in any structure in which a layer of such a film is present. The concept of tuning the residual stress in a sputter-deposited film comprising at least one metal element has broad applicability.

IV. The Method of Tuning Residual Stress in Tantalum and Tantalum Nitride Films

[0040] The preferred embodiments described herein were produced in an Endura® Integrated Processing System available from Applied Materials of Santa Clara, Calif. The physical vapor deposition (sputtering in this case) process chamber is capable of processing an 8 inch (200 mm) diameter silicon wafer. The substrate was a silicon wafer having a silicon oxide surface coating with trenches in the surface of the silicon oxide. Sputtering was carried out using a tantalum target cathode having approximately a 35.3 cm (14 in.) diameter, and DC power was applied to this cathode over a range from about 1 kW to about 18 kW. The substrate was placed at a distance of about 25 cm (9.8 in.) from the tantalum target cathode in the case of gamma sputtering, and at a distance of about 14 cm (5.5 in.) from the cathode in the case of IMP sputtering. During IMP sputtering, an AC bias power ranging from about 0 W to about 400 W was applied to the substrate, to produce a substrate offset bias ranging from about 0 V to about −100 V. The substrate offset bias attracts ions from the plasma to the substrate.

EXAMPLE ONE

[0041] When Gamma-sputtered tantalum film was produced, the film was sputtered using conventional (traditional) magnetron sputtering, with rotating magnet-defined erosion paths (for better uniformity and cathode utilization). Two hundred (200) mm sample surfaces were sputter-deposited at a sample surface temperature of about 25° C., in argon, at pressures of about 1.5 mT or less. The cathode to sample or “throw” distance was typically about 25 cm. The DC power to the tantalum target was approximately 4 kW. No substrate offset bias was used. Under these conditions, the residual film stress of the tantalum film was about −1.5×10+10 dynes/cm2.

EXAMPLE TWO

[0042] When IMP-sputtered tantalum film was produced, a high density, inductively coupled RF plasma was generated in the region between the target cathode and the substrate by applying RF power to a coil (having from 1 to 3 turns) over a range from about 400 kHz to about 13.56 MHz (preferably about 2 MHz). Two hundred (200) mm sample surfaces were IMP sputter-deposited at a sample surface temperature of about 25° C., in argon, at pressures ranging from about 10 mT to about 60 mT. The distance from the cathode to the sample was typically about 14 cm. The DC power to the tantalum target was adjusted over a range from about 1 kW to about 8 kW (preferably about 1 kW to about 3 kW). The wattage to the RF power coil was adjusted over a range from about 1.0 kW to about 5 kW (preferably about 1.0 kW to about 3 kW). An AC bias power ranging from about 0 W to about 500 W was used. FIG. 1 shows a graph 100 of the residual film stress 101 of the tantalum film in Dynes/cm2, as a function of the RF power 108 to the ionization coil, as illustrated by the curve numbered 102; the pressure 110 in the sputtering chamber, as illustrated by the curve numbered 104; and the DC power 112 to the sputtering target (cathode), as illustrated by the curve numbered 106.

[0043] As indicated in graph 100, the residual stress in the deposited Ta film can be tuned over a wide range, for example (but not by way of limitation), from about 1.0×10+10 to about −2×10+10, and can be set at a low stress nominal value, for example, between about 6×10+10 and about −6×10+9, a range over which the residual stress can approach zero. At a residual stress of about −6×10+9, by way of example, the IMP sputtered film residual compressive stress is a factor of three lower than the residual compressive stress of a typical gamma-sputtered Ta film. The process variables which affect film residual stress can be optimized to produce the desired residual film stress in Ta films.

[0044] FIGS. 2A and 2B show the effect of an increase in the RF power to the IMP ionization coil, which is directly related to the amount of ion bombardment at the tantalum film surface. FIG. 2A, graph 200, shows the Ta residual film stress in curves 201 through 206, when the power to the ionization coil is 1 kW, as a function of process chamber argon pressure 207 and the DC power to the tantalum target 208. FIG. 2B, graph 220, shows the Ta residual film stress interior of ellipses 221 and 222, when the power to the ionization coil is 3 kW, as a function of process chamber argon pressure 227 and the DC power to the tantalum target 228.

[0045] These curves show that, with the other process values held constant, an increase in RF power to the ionization coil from 1 kW to 3 kW results in an increase in the film residual compressive stress. Even so, under all of the process conditions shown, the residual film stress for the IMP-sputtered tantalum is less than that of a Gamma-sputtered tantalum film. We have concluded, then, that there is an optimum amount of ion bombardment of a tantalum film surface to produce a Ta film having only minor residual stress (whether compressive or in tension). Process pressure appears to have the greatest effect of the variables tested. It is believed that an increase in the process pressure leads to an increase in ionization within the process chamber, which leads to increased ion bombardment of the depositing film surface.

EXAMPLE THREE

[0046] The effect of the increase in ion bombardment of a depositing film surface, which can be achieved by increasing the DC offset bias voltage of the substrate onto which the film is deposited, is illustrated in FIG. 3. Graph 300 shows the residual stress 311 in dynes/cm2 310 as a function of the AC bias power 320 in Watts The corresponding substrate DC offset bias voltage ranges from about 0 V to about −150 V.

EXAMPLE FOUR

[0047] When tantalum nitride films are produced, the structure of the tantalum nitride depends on the amount of nitrogen in the tantalum nitride compound (film). FIGS. 4 and 5 show the chemical composition and resistivity of tantalum nitride films produced using Gamma sputtering and IMP sputtering techniques, respectively. The chemical composition (atomic nitrogen content) of the film is shown as a function of the nitrogen gas flow rate to the process chamber in which the TaNx film is produced.

[0048] FIG. 4, graph 400, shows the nitrogen content 410 of the Gamma-sputtered tantalum nitride film in atomic % 413, as a function of the nitrogen flow rate 416 in sccm to the process vessel. A two hundred (200) mm diameter sample surface was Gamma sputter-deposited at a sample surface temperature of about 25° C., in an argon/nitrogen atmosphere, at a pressure of about 1.5 mT, where the Argon gas feed was about 15 sccm and the nitrogen flow rate 416 was as shown on graph 400. The “throw” distance between the tantalum target and the sample surface was approximately 250 mm. The DC power to the tantalum target was about 4 kW.

[0049] In addition, graph 400 shows the resistivity 412 in &mgr;&OHgr;-cm 414 of the tantalum nitride film as the nitrogen content 413 increases. The resistivity corresponds with the change in the tantalum nitride structure, as indicated on Graph 400, where 402 represents &bgr;-Ta; 404 represents bcc-Ta(N); 406 represents amorphous TaNx; and 408 represents nanocrystalline fcc-TaNx (x≈1).

[0050] FIG. 4 shows that when the atomic nitrogen content exceeds about 45% to about 50%, the resistivity of the TaNx film increases drastically (to above 1,000 &mgr;&OHgr;-cm).

[0051] FIG. 6, graph 600, shows the residual film stress in dynes/cm2 602 of a Gamma sputtered TaNx film, as a function of the nitrogen flow rate to the process chamber in sccm 604, and as a function of the substrate temperature at the time of film deposition, when the other process variables are held at the values described with reference to FIG. 4.

[0052] Curve 610 represents the TaNx film Gamma sputtered at a substrate temperature of about 25° C.; Curve 612 represents the TaNx film Gamma sputtered at a substrate temperature of about 250° C., and Curve 614 represents the TaNx film Gamma sputtered at a substrate temperature of about 450° C.

[0053] Line 606 constructed at a nitrogen flow rate 604 of about 16 scm, represents the atomic nitrogen content in excess of which the resistivity of the TaNx film increases drastically (as illustrated in FIG. 4 for a nitrogen flow rate of 16 sccm). Thus, the gamma-sputtered TaNx films having reduced residual compressive stress (in the direction of arrow 608) occur at nitrogen contents at which the resistivity of the film is unacceptably high (greater than about 1,000 &mgr;&OHgr;-cm). Looking at the residual film stress of TaNx films having a resistivity lower than about 1,000 &mgr;&OHgr;-cm, it is evident that residual film stress can be reduced by increasing the substrate temperature at the time of film deposition. This is in contrast with TaNx films having a resistivity higher than about 1,000 &mgr;&OHgr;-cm, where the residual film stress increases when the substrate temperature is higher during film deposition. Considering this unexpected result, for Gamma sputtered films having a nitrogen content below about 45%-50 %, it is preferable to deposit the TaNx film at a substrate temperature of at least about 250° C., and more preferably at a substrate temperature of at least about 350°C.

EXAMPLE FIVE

[0054] FIG. 5 graph 500 shows the nitrogen content 510 of the reactive IMP-sputtered TaN film in atomic % 513, as a function of the nitrogen flow rate in sccm 516 to the process chamber. A two hundred (200) mm diameter sample (substrate) surface was reactive IMP sputter-deposited at a sample surface temperature of about 25° C., in an argon/nitrogen atmosphere, at a pressure of about 40 mT, where Argon gas feed was about 95 sccm (80 sccm to the process chamber feed and 15 sccm to the heat exchange surface) and the nitrogen flow rate 516 was as shown on graph 500. The DC power to the tantalum target was about 2 kW. The RF power to the IMP induction coil was about 1.5 kW. No offset bias of the substrate was used.

[0055] In addition, graph 500 shows the resistivity 512 in &mgr;&OHgr;-cm 514 of the IMP sputtered TaNx film as the atomic nitrogen content 513 increases. The resistivity corresponds with the change in the tantalum nitride structure, as indicated on Graph 500, where 502 represents &bgr;-Ta; 504 represents bcc-Ta(N); 506 represents amorphous TaNx; and 508 represents nanocrystalline fcc-TaNx (x=I).

[0056] FIG. 5 also shows that when the atomic nitrogen content exceeds about 45%, the resistivity of the TaNx film increases drastically (to above 1,000 &mgr;&OHgr;-cm).

[0057] FIG. 7, graph 700, shows the residual film stress in dynes/cm2 702 of an IMP sputtered TaNx film, as a function of the nitrogen flow rate to the process chamber in sccm 704, for deposition on a substrate at a temperature of about 25° C., when the other process variables are held at the values described with reference to FIG. 5.

[0058] Line 706, constructed at a nitrogen flow rate 704 of about 14- 16 sccm, represents the atomic nitrogen content in excess of which the resistivity of the TaNx film increases drastically (as illustrated in FIG. 5). We discovered that for IMP sputtered TaNx films, in contrast with the gamma sputtered films, it is possible to produce a film having reduced residual stress at the lower nitrogen contents, where an acceptable resistivity can be obtained. Further, the IMP sputtered TaNx film residual stress appears to remain relatively unaffected by an increase in the nitrogen content over the nitrogen content range represented by the nitrogen flow rates illustrated in FIG. 7 (up to about 60 atomic % nitrogen, based on FIG. 5).

[0059] By depositing the tantalum nitride film using the IMP sputtering method which provides increased bombardment of the depositing film surface (over that obtained by the Gamma sputtering method), it is possible to produce a TaNx film having both an acceptable resistivity and reduced residual film stress. This is because the IMP sputtered TaNx film stress remains relatively unchanged with increasing nitrogen content (in comparison with gamma sputtered TaNx film stress which is strongly dependent on the nitrogen content of the film in the region where the film resistivity is acceptable).

[0060] The above described preferred embodiments are not intended to limit the scope of the present invention, as one skilled in the art can, in view of the present disclosure expand such embodiments to correspond with the subject matter of the invention claimed below.

Claims

1. A Ta film tuned to have a residual film stress ranging between about 1.0×10+10 and about −2×10+10 dynes/cm2.

2. The Ta film of claim 1, wherein said Ta film was sputter deposited.

3. The Ta film of claim 2, wherein said Ta film was IMP sputter deposited.

4. The Ta film of claim 1, wherein said film residual stress ranges between about 6×10+9 and about −6×10+9 dynes/cm2.

5. The Ta film of claim 1, wherein a crystalline structure of said tantalum film is bcc Ta.

6. The Ta film of claim 1, wherein a crystalline structure of said tantalum film is S Ta.

7. A TaNx film, where 0<x≦1.5, tuned to have a residual film stress ranging between about 1.0×10+10 and about −2×10+10 dynes/cm2.

8. The TaNx film of claim 7, wherein said TaNx film was sputter deposited.

9. The TaNx film of claim 8, wherein said TaNx film was reactive IMP sputter deposited.

10. The TaNx film of claim 7, wherein said film residual stress ranges between about 6×10+9 and about −6×10+9 dynes/cm2.

11. The TaNx film of claim 7, wherein said film resistivity is less than about 1,000 &mgr;&OHgr;-cm and said film stress ranges between about 6×10+9 and about −6×10+9 dynes/cm2.

12. The TaNx film of claim 11, wherein said film comprises more than about 30 atomic % nitrogen.

13. The TaNx film of claim 12, wherein said film comprises and less than about 60% nitrogen.

14. A method of tuning the residual film stress of a Ta film, wherein said residual stress is tuned by controlling the amount of ion bombardment of the depositing film surface.

15. The method of claim 14, wherein said Ta film is deposited using a sputtering technique.

16. The method of claim 15, wherein said Ta film is deposited using IMP sputtering.

17. The method of claim 15, wherein said tantalum film comprises bcc Ta.

18. The method of claim 15, wherein a crystalline structure of said tantalum film is &bgr; Ta.

19. A method of tuning tile residual film stress of a Ta film by adjustment of a film deposition process variable selected from the group consisting of process chamber pressure, substrate DC offset bias voltage, power to a sputtering target, power to an ionization coil, substrate temperature, or a combination thereof.

20. The method of claim 19, wherein said residual film stress is tuned to range between about 1×10+10 and about −2×10+10 dynes/cm2.

21. A method of tuning the residual film stress of a Ta film subsequent to deposition, wherein said treatment is selected from the group consisting of ion bombardment, annealing, and combinations thereof.

22. The method of claim 21, wherein said residual film stress is tuned to range between about 1×10+10 and about −2×10+10 dynes/cm2.

23. The method of claim 21, wherein said method of tuning is ion bombardment.

24. The method of claim 21, wherein said method of tuning is annealing, and wherein said annealing is carried out at a temperature of at least about 25° C.

25. The method of claim 24, wherein said temperature is at least about 250° C.

26. The method of claim 25, wherein said temperature is at least about 350° C.

27. A method of tuning the residual film stress of a TaNx, film, wherein said residual stress is tuned by controlling the amount of ion bombardment of the depositing film surface and where 0<x≦1.5.

28. The method of claim 27, wherein said TaNx film is deposited using a sputtering technique.

29. The method of claim 28, wherein said TaN film is deposited using reactive IMP sputtering.

30. The method of claim 29, wherein said TaNx film comprises at least about 30 atomic % nitrogen.

31. The method of claim 30, wherein said nitrogen content is less than about 60 atomic % nitrogen.

32 A method of tuning the residual film stress of a TaNx film by adjustment of a film deposition process variable selected from the group consisting of process chamber pressure, substrate DC offset bias voltage, power to a sputtering target, power to an ionization coil, substrate temperature, or a combination thereof.

33. The method of claim 32, wherein said residual film stress is tuned to range between about 1×10+10 and about −2×10+10 dynes/cm2.

34. A method of tuning the residual film stress of a TaNx film subsequent to deposition, wherein said treatment is selected from the group consisting of ion bombardment, annealing, and combinations thereof.

35. The method of claim 34, wherein said residual film stress is tuned to range between about 1×10+10 and about −2×10+10 dynes/cm2.

36. The method of claim 34, wherein said method of tuning is ion bombardment.

37. The method of claim 34, wherein said method of tuning is annealing, and wherein said annealing is carried out at a temperature of at least about 25° C.

38. The method of claim 37, wherein said temperature is at least about 250° C.

39. The method of claim 38, wherein said temperature is at least about 350° C.

Patent History
Publication number: 20020070375
Type: Application
Filed: Jan 29, 2002
Publication Date: Jun 13, 2002
Inventors: Tony Chiang (Mountain View, CA), Peijun Ding (San Jose, CA), Barry L. Chin (Saratoga, CA)
Application Number: 10060827