METHOD FOR TUNING A DEPOSITION RATE DURING AN ATOMIC LAYER DEPOSITION PROCESS

- APPLIED MATERIALS, INC.

Embodiments of the invention provide methods for depositing a material on a substrate within a processing chamber during a vapor deposition process, such as an atomic layer deposition (ALD) process. In one embodiment, a method is provided which includes sequentially exposing the substrate to a first precursor gas and at least a second precursor gas while depositing a material on the substrate during the ALD process, and continuously or periodically exposing the substrate to a treatment gas prior to and/or during the ALD process. The deposition rate of the material being deposited may be controlled by varying the amount of treatment gas exposed to the substrate. In one example, tantalum nitride is deposited on the substrate and the alkylamino metal precursor gas contains a tantalum precursor, such as pentakis(dimethylamino) tantalum (PDMAT), the second precursor gas contains a nitrogen precursor, such as ammonia, and the treatment gas contains dimethylamine (DMA).

Skip to: Description  ·  Claims  · Patent History  ·  Patent History
Description
CROSS-REFERENCE TO RELATED APPLICATIONS

This application is a continuation-in-part of U.S. Ser. No. 12/206,705 (APPM/12256), filed Sep. 8, 2008, which is herein incorporated by reference.

BACKGROUND OF THE INVENTION

1. Field of the Invention

Embodiments of the invention generally relate to semiconductor and other electronic device processing, and more particularly, to an improved method for depositing a material on a substrate during a vapor deposition process.

2. Description of the Related Art

The electronic device industry and the semiconductor industry continue to strive for larger production yields while increasing the uniformity of layers deposited on substrates having increasingly larger surface areas. These same factors in combination with new materials also provide higher integration of circuits per unit area on the substrate. The need for greater deposition rate and process control regarding layer characteristics rises as the desire for an increased circuit integration.

Tantalum-containing layers, such as tantalum, tantalum nitride, and tantalum silicon nitride, are often used in multi-level integrated circuits and pose many challenges to process control, particularly with respect to contact formation. Barrier layers formed from sputtered tantalum or reactive sputtered tantalum nitride have demonstrated properties suitable for use to control copper diffusion. Exemplary properties include high conductivity, high thermal stability, and resistance to diffusion of foreign atoms.

Both physical vapor deposition (PVD) and atomic layer deposition (ALD) processes are used to deposit tantalum-containing layers in features of small size (e.g., about 90 nm wide) and high aspect ratios of about 5:1. However, it is believed that PVD processes may have reached a limit at this size and aspect ratio, while ALD processes suffer other problems. Common problems encountered during ALD processes include the lack of stability for the deposition rate and minumium control for the thickness of each deposited layer.

An ALD process generally contains a multiplicity of cycles, such that a substrate surface is sequentially exposed to two or more reagents or precursors during each ALD cycle while forming the deposited layer. The thickness of a deposited material is the product of the number of conducted ALD cycles by the thickness of each deposited layer. The deposition rate may be used to adjust the thickness of the deposited material. The deposition rate of each ALD cycle is usually controlled by the chemical nature of the particular process. Therefore, the deposition rate may be adjusted by controlling certain process conditions, such as the delivery rate of the gaseous reagent or precursor, modifying the exposure time during the ALD cycle, or adjusting the temperature of the process or precursor. However, the deposited material is usually non-uniformly formed on the substrate surface while adjusting the deposition rate by varying these process conditions.

Therefore, there is a need for increasing the stability of the deposition rate of a deposited layer while controlling the layer thickness during a vapor deposition process.

SUMMARY OF THE INVENTION

Embodiments of the invention provide methods for depositing a material on a substrate within a processing chamber during a vapor deposition process, such as an atomic layer deposition (ALD) process. Generally, the substrate may be continuously or periodically exposed to a treatment gas containing a reagent prior to and/or during the vapor deposition process. The deposition rate of the material being deposited may be controlled by varying the amount of treatment gas exposed to the substrate. Therefore, the deposition rate may be adjusted, such as within a range from about 0.05 Å/cycle (Å per ALD cycle) to about 1.0 Å/cycle, for example, about 0.5 Å/cycle. In one example, the substrate may be exposed to the treatment gas to reduce the deposition rate of the material during the ALD process by about 95% or less.

In one embodiment, a method for depositing a material on a substrate surface is provided which includes exposing a substrate sequentially to an alkylamino metal precursor gas and a second precursor gas while depositing a material on the substrate during an ALD process, and exposing the substrate to a treatment gas containing an alkylamine compound prior to or during the ALD process. In one example, the deposited material contains tantalum nitride, the alkylamino metal precursor gas contains a tantalum precursor, such as pentakis(dimethylamino) tantalum (PDMAT), the second precursor gas contains a nitrogen precursor, such as ammonia, and the treatment gas contains methylamine or dimethylamine (DMA).

In another embodiment, a method for depositing a material on a substrate surface is provided which includes exposing a substrate sequentially to an alkylamino metal precursor gas and a second precursor gas while depositing a material on the substrate at a first deposition rate during an ALD process within a processing chamber, exposing the substrate to a treatment gas containing an alkylamine compound, and depositing the material on the substrate at a second deposition rate during the ALD process, wherein the second deposition rate is less than the first deposition rate.

The material may be deposited on the substrate in the absence of the treatment gas at a first deposition rate during the ALD process and in the presence of the treatment gas at a second deposition rate during the ALD process. The second deposition rate may be about 95% or less of the first deposition rate. In other examples, the second deposition rate may be about 90% or less, about 80% or less, about 70% or less, or about 50% or less of the first deposition rate. In another example, the second deposition rate may be within a range from about 0.05 Å/cycle to about 1.0 Å/cycle, such as about 0.5 Å/cycle.

In another embodiment, a method for depositing a material on a substrate surface is provided which includes exposing a substrate disposed within the processing chamber to a carrier gas having a continuous flow, and exposing the substrate sequentially to a tantalum precursor gas and a nitrogen precursor gas while depositing a tantalum nitride material on the substrate during an ALD process, wherein the tantalum precursor gas contains PDMAT. The ALD process further provides sequentially pulsing the tantalum precursor gas and the nitrogen precursor gas into the carrier gas with the continuous flow to deposit the tantalum nitride material, and introducing a treatment gas containing dimethylamine to the carrier gas to expose the substrate to the treatment gas prior to and/or during the ALD process.

In some embodiments, the method for depositing a material on a substrate surface is provided which includes sequentially or simultaneously exposing the substrate to a first precursor gas and at least a second precursor gas while depositing a material on the substrate during a vapor deposition process, and continuously or periodically exposing the substrate to a treatment gas containing a reagent prior to and/or during the vapor deposition process.

Embodiments provide that the substrate may be sequentially or simultaneously exposed to the first precursor and at least a second precursor gas during the vapor deposition process, such as an ALD process or a CVD process. Embodiments also provide that the substrate may be continuously or periodically exposed to the treatment gas prior to and/or during the vapor deposition process. In one embodiment, the vapor deposition process further includes sequentially exposing the substrate to the first precursor gas and a second precursor gas during an ALD process. In one example, the substrate may be continuously exposed to the treatment gas during the ALD process. In another example, the substrate may be periodically exposed to the treatment gas during the ALD process. In another example, the substrate may be exposed to the treatment gas prior to the ALD process. In another embodiment, the vapor deposition process further includes simultaneously exposing the substrate to the first precursor gas and a second precursor gas during a CVD process. In one example, the substrate may be continuously exposed to the treatment gas during the CVD process. In another example, the substrate may be periodically exposed to the treatment gas during the CVD process. In another example, the substrate may be exposed to the treatment gas prior to the CVD process.

In another embodiment, a method for depositing a material on a substrate surface is provided which includes exposing the substrate to a first precursor gas to deposit a material on the substrate at a first deposition rate during a vapor deposition process, wherein the first precursor gas contains a first precursor having the chemical formula of ML′x, where x is 1, 2, 3, 4, 5, 6, or greater, M is an element selected from the group consisting of Ti, Zr, Hf, Nb, Ta, Mo, W, Ru, Co, Ni, Pd, Pt, Cu, Al, Ga, In, Si, Ge, Sn, P, As, and Sb, and each L′ is independently a ligand selected from the group consisting of alkylamino, alkylimino, alkoxy, alkyl, alkene, alkyne, cyclopentadienyl, alkylcyclopentadienyl, pentadienyl, pyrrolyl, hydrogen, halogen, derivatives thereof, or combinations thereof, exposing the substrate to a treatment gas containing a hydrogenated ligand compound, wherein the hydrogenated ligand compound has the chemical formula of HL, where L is a ligand selected from the group consisting of alkylamino, alkylimino, alkoxy, alkyl, alkene, alkyne, cyclopentadienyl, alkylcyclopentadienyl, pentadienyl, pyrrolyl, or derivatives thereof, and depositing the material on the substrate at a second deposition rate during the vapor deposition process, wherein the second deposition rate is less than the first deposition rate. The vapor deposition process may further include exposing the substrate sequentially to the first precursor gas and a second precursor gas during the ALD process.

In many examples, the hydrogenated ligand compound within the treatment gas has the chemical formula of HL, where L is a ligand such as alkylamino, alkylimino, alkoxy, alkyl, alkene, alkyne, cyclopentadienyl, alkylcyclopentadienyl, pentadienyl, pyrrolyl, or derivatives thereof. The first precursor gas may contain a first precursor having the chemical formula of ML′x, where x is 1, 2, 3, 4, 5, 6, or greater, M is an element such as Ti, Zr, Hf, Nb, Ta, Mo, W, Ru, Co, Ni, Pd, Pt, Cu, Al, Ga, In, Si, Ge, Sn, P, As, or Sb, and each L′ is independently a ligand such as alkylamino, alkylimino, alkoxy, alkyl, alkene, alkyne, cyclopentadienyl, alkylcyclopentadienyl, pentadienyl, pyrrolyl, hydrogen, halogen, derivatives thereof, or combinations thereof.

In some examples, the treatment gas contains a hydrogenated ligand compound, such as an alkylamine compound having the chemical formula of H2NR or HNR′R″, where each R, R′, and R″ is independently methyl, ethyl, propyl, butyl, amyl, phenyl, aryl, isomers thereof, derivatives thereof, or combinations thereof. The alkylamine compound may be methylamine, dimethylamine, ethylamine, diethylamine, methylethylamine, propylamine, dipropylamine, butylamine, dibutylamine, isomers thereof, derivatives thereof, or combinations thereof. The treatment gas may further contain at least one carrier gas such as ammonia, hydrogen, nitrogen, argon, helium, or combinations thereof. In one example the treatment gas contains dimethylamine, ammonia, and another carrier gas, such as argon.

In some embodiments, the alkylamino metal precursor gas contains an alkylamino metal precursor having the chemical formula of ML′x, where x is 1, 2, 3, 4, 5, 6, or greater, M may be a metal or other element such as Ti, Zr, Hf, Ta, Mo, W, or Si, and each ligand L′ is independently a ligand, such as an alkylamino ligand, which include N(CH3)2, N(C2H5)2, N(C3H7)2, N(C4H9)2, N(CH3)(C2H5), isomers thereof, derivatives thereof, or combinations thereof. In some examples, the metal or element M may be Si, Ti, Zr, or Hf while x is usually 4. In other examples, the alkylamino metal precursor is a tantalum precursor with the metal M being Ta while x is usually 4 or 5. Examples of tantalum precursors include pentakis(dimethylamino) tantalum (PDMAT), pentakis(diethylamino) tantalum, pentakis(ethylmethylamino) tantalum, tert-butylimino tris(dimethylamino) tantalum, tert-butylimino tris(diethylamino) tantalum, tert-butylimino tris(ethylmethylamino) tantalum, tert-amylimino-tris(dimethylamino) tantalum, tert-amylimino-tris(diethylamino) tantalum, tert-amylimino-tris(ethylmethylamino) tantalum, or derivatives thereof. In one example, the tantalum precursor is PDMAT and the alkylamine compound gas contains methylamine or dimethylamine.

In other examples, the hydrogenated ligand compound within the treatment gas may be an alcohol compound having the chemical formula of ROH, where R is methyl, ethyl, propyl, butyl, amyl, isomers thereof, or derivatives thereof. The alcohol compound may be methanol, ethanol, propanol, butanol, pentanol, isomers thereof, derivatives thereof, or combinations thereof. In examples that the hydrogenated ligand compound is an alcohol, the first precursor may contain an alkoxy ligand such as OCH3, OC2H5, OC3H7, OC4H9, isomers thereof, or derivatives thereof. In other examples, the ligand L of the hydrogenated ligand compound may be cyclopentadienyl, alkylcyclopentadienyl, pentadienyl, pyrrolyl, isomers thereof, or derivatives thereof and the ligand L′ of the first precursor may be cyclopentadienyl, alkylcyclopentadienyl, pentadienyl, pyrrolyl, isomers thereof, or derivatives thereof.

In one example, a method for depositing a material on a substrate surface is provided which includes exposing a substrate disposed within the processing chamber to a carrier gas having a continuous flow, introducing a treatment gas containing methylamine or dimethylamine to the continuously flowing carrier gas to expose the substrate to the treatment gas during a treatment process. The method further provides alternately or sequentially pulsing a tantalum precursor gas and a nitrogen precursor gas into the continuously flowing carrier gas to sequentially expose the substrate to the tantalum and nitrogen precursor gases while depositing a tantalum nitride material on the substrate during an ALD process. In one example, the tantalum precursor gas contains PDMAT and the nitrogen precursor gas contains ammonia.

BRIEF DESCRIPTION OF THE DRAWINGS

So that the manner in which the above recited features of the invention can be understood in detail, a more particular description of the invention, briefly summarized above, may be had by reference to embodiments, some of which are illustrated in the appended drawings. It is to be noted, however, that the appended drawings illustrate only typical embodiments of the invention and are therefore not to be considered limiting of its scope, for the invention may admit to other equally effective embodiments.

FIG. 1 depicts a flow diagram of a method for depositing a tantalum nitride material in accordance with embodiments described herein.

DETAILED DESCRIPTION

Embodiments of the invention provide methods for depositing a material on a substrate within a processing chamber during a vapor deposition process, such as an atomic layer deposition (ALD) process or a chemical vapor deposition (CVD) process. Generally, the substrate may be continuously or periodically exposed to a treatment gas containing a reagent prior to and/or during the vapor deposition process. The treatment gas may be administered into the processing chamber and the substrate is exposed to the treatment gas prior to and/or during the vapor deposition process. The deposition rate of the material being deposited may be controlled by varying the amount of treatment gas exposed to the substrate. Therefore, the deposition rate may be adjusted, such as within a range from about 0.05 Å/cycle (Å per ALD cycle) to about 1.0 Å/cycle, for example, about 0.5 Å/cycle.

In one example, the method includes exposing the substrate to the treatment gas to reduce the deposition rate of the material by about 95% or less during the ALD process. The material may be deposited on the substrate in the absence of the treatment gas at a first deposition rate during the ALD process and in the presence of the treatment gas at a second deposition rate during the ALD process. The second deposition rate may be about 95% or less of the first deposition rate. In other examples, the second deposition rate may be about 90% or less, about 80% or less, about 70% or less, or about 50% or less of the first deposition rate. In another example, the second deposition rate may be decreased relative to the first deposition rate by an amount within a range from about 0.05 Å/cycle to about 1.0 Å/cycle, such as about 0.5 Å/cycle.

In one embodiment, the substrate may be continuously or periodically exposed to a treatment gas containing a reagent, such as a hydrogenated ligand compound, during a treatment process and/or during the vapor deposition process. The hydrogenated ligand compound may be the same ligand as a free ligand formed from the metal-organic precursor used during the subsequent vapor deposition process. The free ligand is usually formed by hydrogenation or thermolysis during the deposition process. In one example, the substrate is exposed to an alkylamine compound, such as dimethylamine (DMA) during a treatment process prior to and/or during a vapor deposition process utilizing a metal-organic chemical precursor, which may have alkylamino ligands, such as pentakis(dimethylamino) tantalum (PDMAT, ((CH3)2N)5Ta).

In many examples, the treatment gas contains a hydrogenated ligand compound having the chemical formula of HL, where L is a ligand such as alkylamino, alkylimino, alkoxy, alkyl, alkene, alkyne, cyclopentadienyl, alkylcyclopentadienyl, pentadienyl, pyrrolyl, or derivatives thereof. The chemical precursor gas contains a chemical precursor having the chemical formula of ML′x, where x is 1, 2, 3, 4, 5, 6, or greater, M is an element such as Ti, Zr, Hf, Nb, Ta, Mo, W, Ru, Co, Ni, Pd, Pt, Cu, Al, Ga, In, Si, Ge, Sn, P, As, or Sb, and each L′ is independently a ligand such as alkylamino, alkylimino, alkoxy, alkyl, alkene, alkyne, cyclopentadienyl, alkylcyclopentadienyl, pentadienyl, pyrrolyl, hydrogen, halogen, derivatives thereof, or combinations thereof.

In some embodiments, the method provides that the vapor deposition process is an ALD process and the substrate is sequentially exposed to the alkylamino metal precursor gas and another chemical precursor gas during the ALD process. In other embodiments, the vapor deposition process is a CVD process and the substrate is simultaneously exposed to the alkylamino metal precursor gas and another chemical precursor gas during the CVD process. In one example, the method provides exposing the substrate within the processing chamber to a treatment gas containing an alkylamine compound prior to and/or during an ALD process, and exposing the substrate sequentially to an alkylamino metal precursor gas and at least one additional chemical precursor gas while depositing a material on the substrate during the ALD process. In another example, the method provides continuously or periodically exposing the substrate to the treatment gas containing the alkylamine compound while also exposing the substrate sequentially to an alkylamino metal precursor gas and an additional chemical precursor gas while depositing the material on the substrate during the ALD process. The additional chemical precursor gas may contain a nitrogen precursor, such as ammonia, which is used to deposit a metal nitride material, such as tantalum nitride.

In some examples, the treatment gas contains an alkylamine compound having the chemical formula of H2NR or HNR′R″, where each R, R′, and R″ is independently methyl, ethyl, propyl, butyl, amyl, phenyl, aryl, isomers thereof, derivatives thereof, or combinations thereof. The alkylamine compound may be methylamine, dimethylamine, ethylamine, diethylamine, methylethylamine, propylamine, dipropylamine, butylamine, dibutylamine, isomers thereof, derivatives thereof, or combinations thereof. The treatment gas may further contain at least one carrier gas such as ammonia, hydrogen, nitrogen, argon, helium, or combinations thereof. In one example the treatment gas contains dimethylamine, ammonia, and another carrier gas, such as argon.

In some embodiments, the alkylamino metal precursor gas contains an alkylamino metal precursor having the chemical formula of ML′x, where x is 1, 2, 3, 4, 5, 6, or greater, M may be a metal or other element such as Ti, Zr, Hf, Ta, Mo, W, or Si, and each ligand L′ is independently a ligand, such as an alkylamino ligand, which include N(CH3)2, N(C2H5)2, N(C3H7)2, N(C4H9)2, N(CH3)(C2H5), isomers thereof, derivatives thereof, or combinations thereof. In some examples, the metal or the element M may be Si, Ti, Zr, or Hf while x is usually 4. In other examples, the alkylamino metal precursor is a tantalum precursor with the metal M being Ta while x is usually 4 or 5. Examples of tantalum precursors include pentakis(dimethylamino) tantalum, pentakis(diethylamino) tantalum, pentakis(ethylmethylamino) tantalum, tert-butylimino tris(dimethylamino) tantalum, tert-butylimino tris(diethylamino) tantalum, tert-butylimino tris(ethylmethylamino) tantalum, tert-amylimino-tris(dimethylamino) tantalum, tert-amylimino-tris(diethylamino) tantalum, tert-amylimino-tris(ethylmethylamino) tantalum, or derivatives thereof. In one example, the tantalum precursor is PDMAT and the alkylamine compound gas contains methylamine or dimethylamine.

In other examples, the hydrogenated ligand compound within the treatment gas may be an alcohol compound having the chemical formula of ROH, where R is methyl, ethyl, propyl, butyl, amyl, isomers thereof, or derivatives thereof. The alcohol compound may be methanol, ethanol, propanol, butanol, pentanol, isomers thereof, derivatives thereof, or combinations thereof. In examples that the hydrogenated ligand compound is an alcohol, the chemical precursor may contain an alkoxy ligand such as OCH3, OC2H5, OC3H7, OC4H9, isomers thereof, or derivatives thereof. In other examples, the ligand L of the hydrogenated ligand compound may be cyclopentadienyl, alkylcyclopentadienyl, pentadienyl, pyrrolyl, isomers thereof, or derivatives thereof and the ligand L′ of the chemical precursor may be cyclopentadienyl, alkylcyclopentadienyl, pentadienyl, pyrrolyl, isomers thereof, or derivatives thereof.

In one example, a method for depositing a material on a substrate surface is provided which includes exposing a substrate disposed within the processing chamber to a carrier gas having a continuous flow, introducing a treatment gas containing methylamine or dimethylamine to the continuously flowing carrier gas to expose the substrate to the treatment gas during a treatment process. The method further provides alternately or sequentially pulsing a tantalum precursor gas and a nitrogen precursor gas into the continuously flowing carrier gas to sequentially expose the substrate to the tantalum and nitrogen precursor gases while depositing a tantalum nitride material on the substrate during an ALD process. In one example, the tantalum precursor gas contains PDMAT and the nitrogen precursor gas contains ammonia.

FIG. 1 depicts a flowchart of sequences for ALD process 100 for depositing a material on a substrate in accordance with some embodiments described herein. ALD process 100 provides a continuous flow of a carrier gas administered into the processing chamber and exposed to a substrate therein (step 104). The substrate may optionally be exposed to a treatment gas containing a reagent (step 106). ALD process 100 includes sequentially exposing the substrate to the first precursor gas (step 108) and a second precursor gas (step 110). In one embodiment, the substrate may be exposed to the treatment gas, such as in step 106, prior to the ALD cycle of step 108 and 110. In another embodiment, the substrate may be continuously exposed to the treatment gas during the ALD cycle. In another embodiment, the substrate may be periodically exposed to the treatment gas during the ALD cycle. In one example, a tantalum nitride material may be deposited on the substrate.

At step 102, the processing chamber may be heated and pressurized to a predetermined temperature and pressure. The substrate and the substrate pedestal may also be heated to predetermined temperatures. An exemplary temperature of the processing chamber, the substrate, and/or the substrate pedestal during the treatment process and the deposition process may be within a range from about 100° C. to about 500° C., preferably, from about 200° C. to about 400° C., and more preferably, from about 250° C. to about 300° C. The processing chamber may contain a chamber body and a chamber lid, which each may independently be heated to a temperature within a range from about 25° C. to about 300° C., preferably, from about 30° C. to about 100° C., and more preferably, from about 40° C. to about 80° C. In one example, the processing chamber may have an internal pressure within a range from about 1 mTorr to about 100 Torr, preferably, from about 1 Torr to about 50 Torr, and more preferably, from about 5 Torr to about 20 Torr, such as about 10 Torr.

The substrate may be exposed to a continuous flow of a carrier gas administered into the processing chamber at step 104 of ALD process 100. The carrier gas may have a gas flow rate within a range from about 0.5 slm to about 20 slm, preferably, from about 1 slm to about 16 slm, and more preferably, from about 2 slm to about 8 slm, such as about 4 slm during step 104.

The substrate may be optionally exposed to a treatment gas during a treatment process at step 106 of ALD process 100. In one embodiment, the treatment gas may be administered, delivered, or pulsed into the processing chamber and/or the continuous flow of a carrier gas within the processing chamber. The substrate may be continuously or periodically/discontinuously exposed to the treatment gas. In one example, the treatment process provides exposing the substrate to a treatment gas containing a hydrogenated ligand compound, such as an alkylamine compound. In one example, the alkylamine compound may be methylamine, dimethylamine, or derivatives thereof.

The treatment gas containing the hydrogenated ligand compound may be exposed to the substrate with or without a carrier gas. In many examples, the treatment gas contains at least one carrier gas as well as the hydrogenated ligand compound. The carrier gas of the treatment gas may be ammonia, argon, nitrogen, hydrogen, helium, or mixtures thereof. In an alternative embodiment, such as for forming oxides or other materials, the carrier gas of the treatment gas may include oxygen, nitrous oxide, or air.

The substrate may be exposed to the treatment gas having a gas flow rate within a range from about 0.5 slm to about 20 slm, preferably, from about 1 slm to about 16 slm, and more preferably, from about 2 slm to about 8 slm, such as about 4 slm during step 106. The treatment gas may formed by flowing the carrier gas through an ampoule or a bubbler containing the hydrogenated ligand compound. Alternatively, the treatment gas may formed by co-flowing the hydrogenated ligand compound with the carrier gas. The hydrogenated ligand compound may have a gas flow rate within a range from about 5 sccm to about 1,000 sccm, preferably, from about 25 sccm to about 500 sccm, and more preferably, from about 50 sccm to about 150 sccm, such as about 100 sccm. In one example, the treatment gas contains an alkylamine compound, such as methylamine, dimethylamine, or derivatives thereof, as well as at least one carrier gas. In one example, the treatment gas may contain dimethylamine with a flow rate of about 100 sccm and argon with a flow rate of about 4 slm. In another example, the treatment gas may contain dimethylamine with a flow rate of about 20 sccm, ammonia with a flow rate of about 1 slm, and argon with a flow rate of about 8 slm. The processing chamber and/or substrate may be exposed to the treatment gas containing the hydrogenated ligand or other reagent for a time period within a range from about 2 seconds to about 120 seconds, preferably, from about 5 seconds to about 60 seconds, for example, about 20 seconds or about 40 seconds.

In step 108, a pulse of a first chemical precursor is administered into the processing chamber, pulsed into the stream of carrier gas, and adsorbed on the substrate surface. In one example, a tantalum precursor is pulsed into the stream of carrier gas and a monolayer of a tantalum precursor is adsorbed on the substrate. Any remnants of the first chemical precursor may be removed by the continuous flow of the purge gas and/or evacuation of an attached vacuum system.

The substrate is continuously exposed to the carrier gas and a pulse of a second chemical precursor is added into the carrier gas during step 110. In one example, the second chemical precursor is a nitrogen precursor. The second chemical precursor reacts with the adsorbed layer of the first chemical precursor to form a deposited layer of material on the substrate. In one example, the second chemical precursor is a nitrogen precursor. The nitrogen precursor, such as ammonia, reacts with the adsorbed layer of the first chemical precursor, such as the tantalum precursor, to form a tantalum nitride layer on the substrate. Any remnants of the second chemical precursor and any by-products (e.g., organic compounds) may be removed by the continuous flow of the purge gas and/or evacuation of the attached vacuum system.

In embodiments described herein, the treatment gas may optionally be administered, delivered, or pulsed into the stream of carrier gas, the tantalum precursor, and/or the nitrogen precursor while exposing the substrate prior to, during, or after steps 108 and/or 110. Alternatively, the treatment gas may optionally be administered, delivered, or pulsed independently into the processing chamber to expose the substrate prior to, during, or after steps 108 and/or 110. Therefore, the substrate may be continuously or periodically exposed to the treatment gas during any of the steps of ALD process 100. In one example, the substrate is exposed to the treatment gas containing a hydrogenated ligand compound, preferably, an alkylamine compound, such as methylamine, dimethylamine, or derivatives thereof during any of steps 106, 108, and/or 110.

The deposition rate of the material being deposited may be controlled by varying the amount of treatment gas exposed to the substrate during any of steps 106, 108, and/or 110. Therefore, the deposition rate may be adjusted, such as within a range from about 0.05 Å/cycle (Å per ALD cycle) to about 1.0 Å/cycle. In one example, the deposition rate of the deposited material is about 0.5 Å/cycle.

In other examples, the deposition rate of the deposited material on the substrate may be controlled or reduced by exposing the substrate to the treatment gas prior to or during each ALD cycle of ALD process 100. The deposition rate of the deposited material may be reduced by about 95% or less, relative to depositing the material by the same ALD process without exposing the substrate to the treatment gas. In one embodiment, the material may be deposited on the substrate in the absence of the treatment gas at a first deposition rate during an ALD process and in the presence of the treatment gas at a second deposition rate during any of steps 106, 108, and/or 110 of the ALD process 100. In one example, the second deposition rate may be about 95%, or less of the first deposition rate. In another example, the second deposition rate may be about 90%, or less of the first deposition rate. In another example, the second deposition rate may be about 80%, or less of the first deposition rate. In another example, the second deposition rate may be about 70%, or less of the first deposition rate. In another example, the second deposition rate may be about 60%, or less of the first deposition rate. In another example, the second deposition rate may be about 50%, or less of the first deposition rate. In another example, the second deposition rate may be within a range from about 0.05 Å/cycle to about 1.0 Å/cycle, such as about 0.5 Å/cycle.

At step 112, if the desired thickness of the deposited material has been achieved, then the deposition process is ended at step 114. However, multiple ALD cycles of steps 106-112 are generally repeated before achieving the desired thickness of the deposited material. In one example, PDMAT and ammonia are sequentially pulsed for 40 cycles and the substrate is continuously exposed to DMA while depositing a tantalum nitride material with a thickness about 20 Å. In another example, PDMAT and ammonia are sequentially pulsed for 40 cycles and the substrate is discontinuously exposed to DMA while depositing a tantalum nitride material with a thickness about 20 Å.

In an alternative embodiment, ALD process 100 may start with the adsorption of a monolayer of the second chemical precursor (e.g., a nitrogen precursor) on the substrate followed by the absorption of a monolayer of the first chemical precursor (e.g., a tantalum precursor). In another embodiment, ALD process 100 may start with the adsorption of a monolayer of the treatment gas and subsequently, sequential monolayers of the tantalum and nitrogen precursors on the substrate. Furthermore, in other examples, a pump evacuation alone between pulses of reactant gases and/or purge gases may be used to prevent mixing of the reactant gases.

In some examples, the PDMAT precursor may be heated within an ampoule, a vaporizer, a bubbler, or a similar container prior to flowing into an ALD processing chamber. The PDMAT may be heated to a temperature at least 30° C., preferably within a range from about 45° C. to about 90° C., more preferably from about 50° C. to about 80° C., such as about 73° C. The preheated PDMAT precursor is retained in the carrier gas more thoroughly than if the PDMAT precursor was at room temperature (about 20° C.). In order to heat the PDMAT precursor to a desired temperature, the ampoule, delivery lines, and valves on the ampoule and/or delivery lines may each be independently heated to a temperature within a range from about 25° C. to about 300° C., preferably, from about 50° C. to about 150° C., and more preferably, from about 70° C. to about 120° C. In one example, the sidewalls of the ampoule may be heated to about 85° C., the delivery lines may be heated to about 100° C., and the valves may be heated to about 95° C.

In some embodiments, during the treatment process and the deposition process, the processing chamber and the substrate may be maintained approximately below a thermal decomposition temperature of the selected chemical precursor, such as the tantalum precursor PDMAT during a process to deposit a tantalum nitride material.

For clarity and ease of description, the method will be further described as it relates to the deposition of a tantalum nitride barrier layer using an ALD process. Pulses of a tantalum precursor or a tantalum-containing compound, such as PDMAT may be introduced into the processing chamber. The tantalum precursor may be provided with the aid of a carrier gas or purge gas, which includes, but is not limited to, helium, argon, nitrogen, hydrogen, forming gas, or mixtures thereof. Pulses of a nitrogen precursor or a nitrogen-containing compound, such as ammonia, are also introduced into the processing chamber. A carrier gas may be used to deliver the nitrogen precursor. In one aspect, the flow of purge gas may be continuously provided by a gas sources (e.g., tank or in-house) to act as a purge gas between the pulses of the tantalum precursor and of the nitrogen precursor and to act as a carrier gas during the pulses of the tantalum precursor and the nitrogen precursor. In other aspects, a pulse of purge gas may be provided after each pulse of the tantalum precursor and each pulse the nitrogen precursor. Also, a constant purge or carrier gas may be flowing through the processing chamber during each of the deposition steps or half reactions.

In one example, the substrate may be heated to a temperature within a range from about 250° C. to about 300° C. and the internal pressure of the chamber may be within a range from about 5 Torr to about 15 Torr. The substrate may be exposed to an argon carrier gas having a flow rate within a range from about 1,000 sccm to about 3,000 sccm, preferably about 1,500 sccm.

A tantalum precursor gas may be formed by flowing a carrier gas, such as argon, through the ampoule of preheated PDMAT a rate from about 200 sccm to about 2,000 sccm, for example, about 500 sccm. The PDMAT is maintained at about 73° C. A tantalum precursor gas containing PDMAT and argon may be administered to the substrate surface for a period of time within a range from about 0.1 seconds to about 3.0 seconds, preferably, from about 0.5 seconds to about 1.5 seconds, for example, about 1 second.

In some examples, the substrate is continuously exposed to a treatment gas containing DMA while being exposed to the tantalum precursor gas containing PDMAT. In other examples, the substrate is periodically exposed to a treatment gas containing DMA while being exposed to the tantalum precursor gas containing PDMAT. In other examples, the substrate is exposed to a treatment gas containing DMA prior to being exposed to the tantalum precursor gas containing PDMAT. The treatment gas containing DMA and the tantalum precursor gas containing PDMAT may be independently flowed or co-flowed into the processing chamber and may be independently exposed or simultaneously exposed to the substrate.

After the substrate is exposed to a pulse of PDMAT, the flow of carrier gas may continue to purge for a period of time within a range from about 0.2 seconds to about 5.0 seconds, preferably, from about 0.25 seconds to about 1.5 seconds, for example, about 0.5 seconds. The attached vacuum system removes any remaining PDMAT during this purge step.

Subsequently, a pulse of a nitrogen precursor gas containing ammonia is administered to the substrate surface. The nitrogen precursor gas may include the nitrogen precursor in a carrier gas or may be solely the nitrogen precursor. In one example, the nitrogen precursor gas contains ammonia and nitrogen. The nitrogen precursor gas containing ammonia may be delivered a rate from about 1,000 sccm to about 3,000 sccm, preferably about 1,500 sccm and may be administered to the substrate surface for a period of time within a range from about 0.1 seconds to about 3.0 seconds, preferably, from about 0.5 seconds to about 1.5 seconds, for example about 1 second.

In some examples, the substrate is continuously exposed to the treatment gas containing DMA while being exposed to the nitrogen precursor gas containing ammonia. In other examples, the substrate is periodically exposed to the treatment gas containing DMA while being exposed to the nitrogen precursor gas containing ammonia. In other examples, the substrate is exposed to the treatment gas containing DMA prior to being exposed to the nitrogen precursor gas containing ammonia. The treatment gas containing DMA and the nitrogen precursor gas containing ammonia may be independently flowed or co-flowed into the processing chamber and may be independently exposed or simultaneously exposed to the substrate.

After the pulse of the nitrogen precursor gas containing ammonia, the flow of the carrier gas may continue for a period of time within a range from about 0.2 seconds to about 5.0 seconds, preferably, from about 0.25 seconds to about 1.5 seconds, for example, about 0.5 seconds. The vacuum system removes any remaining nitrogen precursor and/or any by-products formed during the reaction.

The ALD cycle may be repeated until a predetermined thickness of the deposited material, such as tantalum nitride, is achieved, such as within a range from about 5 Å to about 200 Å, preferably, from about 10 Å to about 30 Å, such as about 20 Å for a barrier layer.

The time duration for each pulse of tantalum precursor gas, pulse of the nitrogen precursor gas, and pulse of purge gas between pulses of the reactants are variable and depend on the volume capacity of a deposition chamber employed as well as a vacuum system coupled thereto. For example, (1) a lower chamber pressure of a gas will require a longer pulse time, (2) a lower gas flow rate will require a longer time for chamber pressure to rise and stabilize requiring a longer pulse time, and (3) a large-volume chamber will take longer to fill, longer for chamber pressure to stabilize thus requiring a longer pulse time. Similarly, time between each pulse is also variable and depends on volume capacity of the processing chamber as well as the vacuum system coupled thereto. In general, the time duration of a pulse of the tantalum precursor gas or the nitrogen precursor gas should be long enough for adsorption or reaction of a monolayer of the compound. In one aspect, a pulse of a tantalum precursor gas may still be in the processing chamber when a pulse of a nitrogen precursor gas enters. The treatment gas may still be in the processing chamber along with the pulse of the tantalum precursor gas and/or the pulse of the nitrogen precursor gas. In general, the duration of the purge gas and/or pump evacuation should be long enough to prevent the pulses of the tantalum precursor gas and the nitrogen precursor gas from mixing together in the reaction zone.

In another embodiment, the substrate may be exposed to the treatment gas prior to or during the deposition of other materials on a substrate. In one example, the hydrogenated ligand compound may be an alkylamine compound, such as methylamine or dimethylamine, while PDMAT may be used as a tantalum precursor to form other tantalum-containing material, such as tantalum oxide, tantalum silicon nitride, tantalum boron nitride, tantalum phosphorous nitride, tantalum oxynitride, or tantalum silicate. A more detailed description of a process to form ternary or quaternary elemental tantalum-containing materials is described in commonly assigned U.S. Pat. No. 7,081,271, which is herein incorporated by reference in its entirety.

Process 100 may be modified in order to obtain other tantalum-containing materials. For example, the substrate may be exposed to the treatment gas prior to or during the deposition of a tantalum silicon nitride material, which may be formed if the substrate is exposed to a pulse of a silicon precursor as an additional step of the ALD cycle containing the pulses of the tantalum precursor gas and a nitrogen precursor. Similar, the substrate may be exposed to the treatment gas prior to or during the deposition of a tantalum oxynitride material, which may be formed if the substrate is exposed to a pulse of an oxygen precursor as an additional step of the ALD cycle containing the pulses of the tantalum precursor gas and a nitrogen precursor. In another example, the substrate may be exposed to the treatment gas prior to or during the deposition of a tantalum silicate material, which may be formed if the substrate is exposed to a pulse of the tantalum precursor gas, a pulse of a silicon precursor, and a pulse of an oxygen precursor during the ALD cycle. In another example, the substrate may be exposed to the treatment gas prior to or during the deposition of a tantalum oxide material, which may be formed if the substrate is exposed to a pulse of the tantalum precursor gas and a pulse of an oxygen precursor during the ALD cycle. In another example, the substrate may be exposed to the treatment gas prior to or during the deposition of a tantalum phosphorous nitride material, which may be formed if the substrate is exposed to a pulse of the tantalum precursor gas, a pulse of a nitrogen precursor and a pulse of a phosphorous precursor (e.g., phosphine) during the ALD cycle. In another example, the substrate may be exposed to the treatment gas prior to or during the deposition of a tantalum boron nitride material, which may be formed if the substrate is exposed to a pulse of the tantalum precursor gas, a pulse of a nitrogen precursor and a pulse of a boron precursor (e.g., diborane) during the ALD cycle.

In one embodiment, the substrate may be exposed to the treatment gas prior to or during the deposition of a tantalum nitride material, which may be formed or deposited with the chemical formula of TaNx, where x is within a range from about 0.4 to about 2.0. In some examples, the tantalum nitride materials may be formed with empirical formulas of TaN, Ta3N5, Ta2N, or Ta6N2.57. The tantalum nitride materials may be deposited as amorphous or crystalline materials. The ALD process provides stoichiometric control during the deposition of the tantalum nitride materials. The stoichiometry may be altered by various procedures following the deposition process, such as when Ta3N5 is thermally annealed to form TaN. The ratio of the precursors may be altered during deposition to control the stoichiometry of the tantalum nitride materials.

In the examples above, the various tantalum materials, such as tantalum nitride, may be formed by ALD processes which utilize the tantalum precursor PDMAT, the nitrogen precursor ammonia, and a treatment gas containing a hydrogenated ligand compound, such as dimethylamine. However, other chemical precursors and hydrogenated ligand compounds are within the scope of embodiments of the invention.

An important characteristic for a chemical precursor used in a vapor deposition process is to have a favorable vapor pressure. The chemical precursor may have a gaseous state, a liquid state, or a solid state at ambient temperature and/or pressure. However, within the vapor deposition system, precursors are volatilized to a gas and delivered to the ALD or CVD processing chamber. The chemical precursors are usually heated prior to being delivered into the processing chamber.

Tantalum precursors may contain ligands such as alkylamino, alkylimino, cyclopentadienyl, alkylcyclopentadienyl, pentadienyl, alkyl, alkene, alkyne, alkoxyl, isomers thereof, derivatives thereof, or combinations thereof. Alkylamino tantalum compounds used as tantalum precursors include (RR′N)5Ta, where each of R or R′ is independently hydrogen, methyl, ethyl, propyl, or butyl. Alkylimino tantalum compounds used as tantalum precursors include (RN)(R′R″N)3Ta, where each of R, R′, or R″ is independently hydrogen, methyl, ethyl, propyl, butyl, or pentyl (amyl).

Exemplary tantalum precursors include pentakis(dimethylamino) tantalum (PDMAT, (Me2N)5Ta), pentakis(diethylamino) tantalum (PDEAT, (Et2N)5Ta), pentakis(ethylmethylamino) tantalum (PEMAT, (EtMeN)5Ta), tert-butylimino tris(dimethylamino) tantalum (TBTDMT, (tBuN)Ta(NMe2)3), tert-butylimino tris(diethylamino) tantalum (TBTDET, (tBuN)Ta(NEt2)3), tert-butylimino tris(ethylmethylamino) tantalum (TBTEMT, (tBuN)Ta(NMeEt)3), tert-amylimino-tris(dimethylamino) tantalum (TAIMATA, (tAmyIN)Ta(NMe2)3), tert-amylimino-tris(diethylamino) tantalum ((tAmyIN)Ta(NEt2)3), tert-amylimino-tris(ethylmethylamino) tantalum ((tAmyIN)Ta(NEtMe)3), bis(cyclopentadienyl) tantalum trihydride (Cp2TaH3), bis(methylcyclopentadienyl) tantalum trihydride ((MeCp)2TaH3), bis(pentamethylcyclopentadienyl) tantalum trihydride ((Me5Cp)2TaH3), tantalum methoxide ((MeO)5Ta), tantalum ethoxide ((EtO)5Ta), tantalum propoxide ((PrO)5Ta), tantalum butoxide ((BuO)5Ta), isomers thereof, or derivatives thereof.

“TAIMATA” is used herein to describe tertiaryamylimino-tris(dimethylamino) tantalum with the chemical formula (tAmyIN)Ta(NMe2)3, wherein tAmyl is the tertiaryamyl (tert-amyl) group (C5H11— or CH3CH2C(CH3)2—). In one embodiment, a tantalum precursor gas may be formed by heating a liquid TAIMATA precursor in a vaporizer, a bubbler or an ampoule to a temperature of at least 30° C., preferably to a temperature within a range from about 50° C. to about 80° C. A carrier gas may be flown across or bubbled through the heated TAIMATA to form a tantalum precursor gas.

Besides tantalum precursors, other chemical precursors may also be used in vapor deposition processes, as described by embodiments herein. Exemplary chemical precursors that may also be used in vapor deposition (e.g., ALD or CVD) processes include titanium precursors, tungsten precursors, hafnium precursors, zirconium precursors, aluminum precursors, cobalt precursors, ruthenium precursors, copper precursors, silicon precursors, nitrogen precursors, oxygen precursors, as well as other chemical precursors. Materials that may be formed or deposited include a variety of metals, nitrides, oxides, silicides, including metallic tantalum, tantalum nitride, tantalum oxide, tantalum oxynitride, tantalum silicide, tantalum silicide nitride, metallic titanium, titanium nitride, titanium oxide, titanium oxynitride, titanium silicide, titanium silicide nitride, metallic tungsten, tungsten nitride, tungsten oxide, tungsten boronitride, tungsten silicide, tungsten silicide nitride, tungsten boride, metallic hafnium, hafnium nitride, hafnium oxide, hafnium oxynitride, hafnium silicide, hafnium silicon nitride, hafnium silicate, hafnium silicon oxynitride, metallic zirconium, zirconium nitride, zirconium oxide, zirconium oxynitride, zirconium silicide, zirconium silicon nitride, zirconium silicate, zirconium silicon oxynitride, metallic aluminum, aluminum nitride, aluminum oxide, aluminum oxynitride, aluminum silicide, aluminum silicon nitride, aluminum silicate, aluminum silicon oxynitride, metallic cobalt, cobalt silicide, metallic ruthenium, metallic copper, copper alloys, derivatives thereof, alloys thereof, or combinations thereof.

In another embodiment, the treatment gas contains a hydrogenated ligand compound having the chemical formula of HL, where L is a ligand such as alkylamino, alkylimino, alkoxy, alkyl, alkene, alkyne, cyclopentadienyl, alkylcyclopentadienyl, pentadienyl, pyrrolyl, or derivatives thereof. In some examples, such as when the metal precursor is an alkylamino metal precursor, the treatment gas contains a hydrogenated ligand compound which may be an alkylamine compound having the chemical formula of H2NR or HNR′R″, where each R, R′, and R″ is independently methyl, ethyl, propyl, butyl, amyl, phenyl, aryl, isomers thereof, derivatives thereof, or combinations thereof. The alkylamine compound may be methylamine, dimethylamine, ethylamine, diethylamine, methylethylamine, propylamine, dipropylamine, butylamine, dibutylamine, isomers thereof, derivatives thereof, or combinations thereof. In some examples, the treatment gas further contains at least one carrier gas such as ammonia, hydrogen, nitrogen, argon, helium, or combinations thereof. In one example, the treatment gas contains dimethylamine, ammonia, and another carrier gas, such as argon.

In other examples, the treatment gas contains a hydrogenated ligand compound which may be an alcohol compound having the chemical formula of ROH, where R is methyl, ethyl, propyl, butyl, amyl, isomers thereof, or derivatives thereof. The alcohol compound may be methanol, ethanol, propanol, butanol, pentanol, isomers thereof, derivatives thereof, or combinations thereof. In other examples, the chemical precursor contains an alkoxy ligand such as OCH3, OC2H5, OC3H7, OC4H9, isomers thereof, or derivatives thereof.

In other embodiments, the precursor gas contains a chemical precursor having the chemical formula of ML′x, where x is 1, 2, 3, 4, 5, 6, or greater, M is an element such as Ti, Zr, Hf, Nb, Ta, Mo, W, Ru, Co, Ni, Pd, Pt, Cu, Al, Ga, In, Si, Ge, Sn, P, As, or Sb, and each L′ is independently a ligand such as alkylamino, alkylimino, alkoxy, alkyl, alkene, alkyne, cyclopentadienyl, alkylcyclopentadienyl, pentadienyl, pyrrolyl, hydrogen, halogen, derivatives thereof, or combinations thereof.

In one embodiment, the ligand L of the hydrogenated ligand compound may be cyclopentadienyl, alkylcyclopentadienyl, pentadienyl, pyrrolyl, isomers thereof, or derivatives thereof and the ligand L′ of the chemical precursor may be cyclopentadienyl, alkylcyclopentadienyl, pentadienyl, pyrrolyl, isomers thereof, or derivatives thereof.

In some examples, the precursor gas contains the alkylamino metal precursor gas contains an alkylamino metal precursor having the chemical formula of ML′x, where x is 1, 2, 3, 4, 5, 6, or greater, M may be a metal or other element such as Ti, Zr, Hf, Ta, Mo, W, or Si, and each ligand L′ is independently a ligand, such as an alkylamino ligand, which include N(CH3)2, N(C2H5)2, N(C3H7)2, N(C4H9)2, N(CH3)(C2H5), isomers thereof, derivatives thereof, or combinations thereof. In some examples, metal/element M may be Si, Ti, Zr, or Hf while x is usually 4. In other examples, the alkylamino metal precursor is a tantalum precursor with metal M being Ta while x is usually 4 or 5.

In other examples, the hydrogenated ligand compound may be an alcohol compound having the chemical formula of ROH, where R is methyl, ethyl, propyl, butyl, amyl, isomers thereof, or derivatives thereof. The alcohol compound may be methanol, ethanol, propanol, butanol, pentanol, isomers thereof, derivatives thereof, or combinations thereof. In other examples, the first precursor contains an alkoxy ligand such as OCH3, OC2H5, OC3H7, OC4H9, isomers thereof, or derivatives thereof. In other examples, the ligand L of the hydrogenated ligand compound may be cyclopentadienyl, alkylcyclopentadienyl, pentadienyl, pyrrolyl, isomers thereof, or derivatives thereof and the ligand L′ of the first precursor may be cyclopentadienyl, alkylcyclopentadienyl, pentadienyl, pyrrolyl, isomers thereof, or derivatives thereof.

Titanium precursors useful for depositing materials as described herein include tetrakis(dimethylamino) titanium (TDMAT), tetrakis(ethylmethylamino) titanium (TEMAT), tetrakis(diethylamino) titanium (TDEAT), or derivatives thereof.

Tungsten precursors useful for depositing materials as described herein include bis(tert-butylimino)-bis(dimethylamino) tungsten ((tBuN )2W(NMe2)2), bis(tert-butylimino)-bis(diethylamino) tungsten ((tBuN)2W(NEt2)2), bis(tert-butylimino)-bis(ethylmethylamino) tungsten ((tBuN)2W(NEtMe)2), or derivatives thereof.

Hafnium alkylamino compounds useful as hafnium precursors include (RR′N)4Hf, where each R and R′ is independently hydrogen, methyl, ethyl, propyl, butyl, amyl, or isomers thereof. Hafnium precursors useful for depositing materials as described herein include tetrakis(diethylamino) hafnium ((Et2N)4Hf, TDEAH), tetrakis(dimethylamino) hafnium ((Me2N)4Hf, TDMAH), tetrakis(ethylmethylamino) hafnium ((EtMeN)4Hf, TEMAH), hafnium tetramethoxide ((MeO)4Hf), hafnium tetraethoxide ((EtO)4Hf), hafnium tetrapropoxide ((PrO)4Hf), hafnium tetrabutoxide ((BuO)4Hf), isomers thereof, or derivatives thereof. Other hafnium precursors may include hafnium chloride (HfCI4), hafnium iodide (Hfl4), (tBuC5H4)2HfCl2, (C5H5)2HfCl2, (EtC5H4)2HfCl2, (Me5C5)2HfCl2, (Me5C5)HfCl3, (iPrC5H4)2HfCl2, (iPrC5H4)HfCl3, (tBuC5H4)2HfMe2, (acac)4Hf, (hfac)4Hf, (tfac)4Hf, (thd)4Hf, (NO3)4Hf, or derivatives thereof.

Zirconium alkylamino compounds useful as zirconium precursors include (RR′N)4Zr, where each R and R′ is independently hydrogen, methyl, ethyl, propyl, butyl, amyl, or isomers thereof. Zirconium precursors useful for depositing materials as described herein include tetrakis(diethylamino) zirconium ((Et2N)4Zr), tetrakis(dimethylamino) zirconium ((Me2N )4Zr), tetrakis(ethylmethylamino) zirconium ((EtMeN)4Zr), zirconium tetramethoxide ((MeO)4Zr), zirconium tetraethoxide ((EtO)4Zr), zirconium tetrapropoxide ((PrO)4Zr), zirconium tetrabutoxide ((BuO)4Zr), isomers thereof, or derivatives thereof. Other zirconium precursors may include zirconium chloride (ZrCl4), zirconium iodide (Zrl4), (tBuC5H4)2ZrCl2, (C5H5)2ZrCl2, (EtC5H4)2ZrCl2, (Me5C5)2ZrCl2, (Me5C5)ZrCl3, (iPrC5H4)2ZrCl2, (iPrC5H4)ZrCl3(tBuC5H4)2ZrMe2, (acac)4Zr, (Zrac)4Zr, (tfac)4Zr, (thd)4Zr, (NO3)4Zr, or derivatives thereof.

Aluminum precursors useful for depositing materials as described herein include aluminum methoxide ((MeO)3Al), aluminum ethoxide ((EtO)3Al), aluminum propoxide ((PrO)3Al), aluminum butoxide ((BuO)3Al), or derivatives thereof.

Silicon precursors useful for depositing materials as described herein include silane compounds, alkylamino silane compounds, silanol, or alkoxysilane compounds, as well as other silicon containing compounds. Alkylamino silane compounds useful as silicon precursors include (RR′N)4-nSiHn, where R or R′ are independently hydrogen, methyl, ethyl, propyl, butyl, amyl, isomers thereof, or derivatives thereof and n is 0, 1, 2, or 3. Alkoxy silane compounds may be described by the generic chemical formula (RO)4-nSiLn, where R is methyl, ethyl, propyl, butyl, amyl, isomers thereof, or derivatives thereof and L is H, OH, F, Cl, Br, I, methyl, ethyl, propyl, butyl, or mixtures thereof, and n is 0, 1, 2, or 3. Silicon precursors may include tetrakis(dimethylamino) silane ((Me2N)4Si, DMAS), tris(dimethylamino) silane ((Me2N)3SiH, Tris-DMAS), bis(dimethylamino) silane ((Me2N)2SiH2), dimethylamino silane ((Me2N)SiH3), tetrakis(diethylamino) silane ((Et2N)4Si)), tris(diethylamino) silane ((Et2N)3SiH), tetrakis(methylethylamino) silane ((MeEtN)4Si), tris(methylethylamino) silane ((MeEtN)3SiH), tetramethoxysilane ((MeO)4Si), tetraethoxysilane ((EtO)4Si), isomers thereof, derivatives thereof, or combinations thereof. Other silicon precursors that may be used in vapor deposition processes described herein include silane (SiH4), disilane (Si2H6), tetrachlorosilane (SiCl4), hexachlorodisilane (Si2Cl6), tetraisocyanate silane (Si(NCO)4), trisocyanate methylsilane (MeSi(NCO)3), or derivatives thereof.

In another embodiment, a family of ruthenium precursors useful to form a ruthenium material during the deposition process described herein includes pyrrolyl ruthenium precursors. During a treatment process of the processing chamber and/or the substrate, the hydrogenated ligand compound within the treatment gas may be a hydrogenated pyrrolyl ligand, pyridine, or derivatives thereof. In one example, a pyrrolyl ruthenium precursor contains ruthenium and at least one pyrrolyl ligand or at least one pyrrolyl derivative ligand. A pyrrolyl ruthenium precursor may have a pyrrolyl ligand, such as, for example:

where R1, R2, R3, R4, and R5 is each independently absent, hydrogen, an alkyl group (e.g., methyl, ethyl, propyl, butyl, amyl, or higher), an amine group, an alkoxy group, an alcohol group, an aryl group, another pyrrolyl group (e.g., 2,2′-bipyrrolyl), a pyrazole group, derivatives thereof, or combinations thereof. The pyrrolyl ligand may have any two or more of R1, R2, R3, R4, and R5 connected together by a chemical group. For example, R2 and R3 may be a portion of a ring structure such as an indolyl group or derivative thereof. A pyrrolyl ruthenium precursor as used herein refers to any chemical compound containing ruthenium and at least one pyrrolyl ligand or at least one derivative of a pyrrolyl ligand. In some examples, a pyrrolyl ruthenium precursor may include bis(tetramethylpyrrolyl) ruthenium, bis(2,5-dimethylpyrrolyl) ruthenium, bis(2,5-diethylpyrrolyl) ruthenium, bis(tetraethylpyrrolyl) ruthenium, pentadienyl tetramethylpyrrolyl ruthenium, pentadienyl 2,5-dimethylpyrrolyl ruthenium, pentadienyl tetraethylpyrrolyl ruthenium, pentadienyl 2,5-diethylpyrrolyl ruthenium, 1,3-dimethylpentadienyl pyrrolyl ruthenium, 1,3-diethylpentadienyl pyrrolyl ruthenium, methylcyclopentadienyl pyrrolyl ruthenium, ethylcyclopentadienyl pyrrolyl ruthenium, 2-methylpyrrolyl pyrrolyl ruthenium, 2-ethylpyrrolyl pyrrolyl ruthenium, or derivatives thereof.

A pyrrolyl ligand, as used herein, may be abbreviated by “py” and a pyrrolyl derivative ligand may be abbreviated by “R-py.” Exemplary pyrrolyl ruthenium precursors useful to form a ruthenium material during the deposition process described herein include alkyl pyrrolyl ruthenium precursors (e.g., (Re-py)Ru), bis(pyrrolyl) ruthenium precursors (e.g., (py)2Ru) and dienyl pyrrolyl ruthenium precursors (e.g., (Cp)(py)Ru). Examples of alkyl pyrrolyl ruthenium precursors include methylpyrrolyl ruthenium, ethylpyrrolyl ruthenium, propylpyrrolyl ruthenium, dimethylpyrrolyl ruthenium, diethylpyrrolyl ruthenium, dipropylpyrrolyl ruthenium, trimethylpyrrolyl ruthenium, triethylpyrrolyl ruthenium, tetramethylpyrrolyl ruthenium, tetraethylpyrrolyl ruthenium, or derivatives thereof. Examples of bis(pyrrolyl) ruthenium precursors include bis(pyrrolyl) ruthenium, bis(methylpyrrolyl) ruthenium, bis(ethylpyrrolyl) ruthenium, bis(propylpyrrolyl) ruthenium, bis(dimethylpyrrolyl) ruthenium, bis(diethylpyrrolyl) ruthenium, bis(dipropylpyrrolyl) ruthenium, bis(trimethylpyrrolyl) ruthenium, bis(triethylpyrrolyl) ruthenium, bis(tetramethylpyrrolyl) ruthenium, bis(tetraethylpyrrolyl) ruthenium, methylpyrrolyl pyrrolyl ruthenium, ethylpyrrolyl pyrrolyl ruthenium, propylpyrrolyl pyrrolyl ruthenium, dimethylpyrrolyl pyrrolyl ruthenium, diethylpyrrolyl pyrrolyl ruthenium, dipropylpyrrolyl pyrrolyl ruthenium, trimethylpyrrolyl pyrrolyl ruthenium, triethylpyrrolyl pyrrolyl ruthenium, tetramethylpyrrolyl pyrrolyl ruthenium, tetraethylpyrrolyl pyrrolyl ruthenium, or derivatives thereof.

A dienyl pyrrolyl ruthenium precursor contains at least one dienyl ligand and at least one pyrrolyl ligand. The dienyl ligand may contain a carbon backbone with as little as four carbon atoms or as many as about ten carbon atoms, preferably, about five or six. The dienyl ligand may have a ring structure (e.g., cyclopentadienyl) or may be an open alkyl chain (e.g., pentadienyl). Also, dienyl ligand may contain no alkyl groups, one alkyl group, or many alkyl groups.

In one embodiment, the dienyl pyrrolyl ruthenium precursor contains a pentadienyl ligand or an alkylpentadienyl ligand. Examples of pentadienyl pyrrolyl ruthenium precursors include pentadienyl pyrrolyl ruthenium, pentadienyl methylpyrrolyl ruthenium, pentadienyl ethylpyrrolyl ruthenium, pentadienyl propylpyrrolyl ruthenium, pentadienyl dimethylpyrrolyl ruthenium, pentadienyl diethylpyrrolyl ruthenium, pentadienyl dipropylpyrrolyl ruthenium, pentadienyl trimethylpyrrolyl ruthenium, pentadienyl triethylpyrrolyl ruthenium, pentadienyl tetramethylpyrrolyl ruthenium, pentadienyl tetraethylpyrrolyl ruthenium, or derivatives thereof. Examples of alkylpentadienyl pyrrolyl ruthenium precursors include alkylpentadienyl pyrrolyl ruthenium, alkylpentadienyl methylpyrrolyl ruthenium, alkylpentadienyl ethylpyrrolyl ruthenium, alkylpentadienyl propylpyrrolyl ruthenium, alkylpentadienyl dimethylpyrrolyl ruthenium, alkylpentadienyl diethylpyrrolyl ruthenium, alkylpentadienyl dipropylpyrrolyl ruthenium, alkylpentadienyl trimethylpyrrolyl ruthenium, alkylpentadienyl triethylpyrrolyl ruthenium, alkylpentadienyl tetramethylpyrrolyl ruthenium, alkylpentadienyl tetraethylpyrrolyl ruthenium, or derivatives thereof.

In another embodiment, the dienyl pyrrolyl ruthenium precursor contains a cyclopentadienyl ligand or an alkylcyclopentadienyl ligand. Examples of cyclopentadienyl pyrrolyl ruthenium precursors include cyclopentadienyl pyrrolyl ruthenium, cyclopentadienyl methylpyrrolyl ruthenium, cyclopentadienyl ethylpyrrolyl ruthenium, cyclopentadienyl propylpyrrolyl ruthenium, cyclopentadienyl dimethylpyrrolyl ruthenium, cyclopentadienyl diethylpyrrolyl ruthenium, cyclopentadienyl dipropylpyrrolyl ruthenium, cyclopentadienyl trimethylpyrrolyl ruthenium, cyclopentadienyl triethylpyrrolyl ruthenium, cyclopentadienyl tetramethylpyrrolyl ruthenium, cyclopentadienyl tetraethylpyrrolyl ruthenium, or derivatives thereof. Examples of alkylcyclopentadienyl pyrrolyl ruthenium precursors include alkylcyclopentadienyl pyrrolyl ruthenium, alkylcyclopentadienyl methylpyrrolyl ruthenium, alkylcyclopentadienyl ethylpyrrolyl ruthenium, alkylcyclopentadienyl propylpyrrolyl ruthenium, alkylcyclopentadienyl dimethylpyrrolyl ruthenium, alkylcyclopentadienyl diethylpyrrolyl ruthenium, alkylcyclopentadienyl dipropylpyrrolyl ruthenium, alkylcyclopentadienyl trimethylpyrrolyl ruthenium, alkylcyclopentadienyl triethylpyrrolyl ruthenium, alkylcyclopentadienyl tetramethylpyrrolyl ruthenium, alkylcyclopentadienyl tetraethylpyrrolyl ruthenium, or derivatives thereof.

In another embodiment, a ruthenium precursor may contain no pyrrolyl ligand or pyrrolyl derivative ligand, but instead, contains at least one open chain dienyl ligand, such as CH2CRCHCRCH2, where R is independently an alkyl group or hydrogen. A ruthenium precursor may have two open-chain dienyl ligands, such as pentadienyl or heptadienyl. A bis(pentadienyl) ruthenium compound has a generic chemical formula (CH2CRCHCRCH2)2Ru, where R is independently an alkyl group or hydrogen. Usually, R is independently hydrogen, methyl, ethyl, propyl or butyl. Therefore, ruthenium precursors may include bis(dialkylpentadienyl) ruthenium compounds, bis(alkylpentadienyl) ruthenium compounds, bis(pentadienyl) ruthenium compounds, or combinations thereof. Examples of ruthenium precursors include bis(2,4-dimethylpentadienyl) ruthenium, bis(2,4-diethylpentadienyl) ruthenium, bis(2,4-diisopropylpentadienyl) ruthenium, bis(2,4-ditertbutylpentadienyl) ruthenium, bis(methylpentadienyl)ruthenium, bis(ethylpentadienyl) ruthenium, bis(isopropylpentadienyl) ruthenium, bis(tertbutylpentadienyl) ruthenium, derivatives thereof, or combinations thereof. In some embodiments, other ruthenium precursors include tris(2,2,6,6-tetramethyl-3,5-heptanedionato) ruthenium, dicarbonyl pentadienyl ruthenium, ruthenium acetyl acetonate, 2,4-dimethylpentadienyl cyclopentadienyl ruthenium, bis(2,2,6,6-tetramethyl-3,5-heptanedionato) (1,5-cyclooctadiene) ruthenium, 2,4-dimethylpentadienyl methylcyclopentadienyl ruthenium, 1,5-cyclooctadiene cyclopentadienyl ruthenium, 1,5-cyclooctadiene methylcyclopentadienyl ruthenium, 1,5-cyclooctadiene ethylcyclopentadienyl ruthenium, 2,4-dimethylpentadienyl ethylcyclopentadienyl ruthenium, 2,4-dimethylpentadienyl isopropylcyclopentadienyl ruthenium, bis(N,N-dimethyl 1,3-tetramethyl diiminato) 1,5-cyclooctadiene ruthenium, bis(N,N-dimethyl 1,3-dimethyl diiminato) 1,5-cyclooctadiene ruthenium, bis(allyl) 1,5-cyclooctadiene ruthenium, η6-C6H6 1,3-cyclohexadiene ruthenium, bis(1,1-dimethyl-2-aminoethoxylato) 1,5-cyclooctadiene ruthenium, bis(1,1-dimethyl-2-aminoethylaminato) 1,5-cyclooctadiene ruthenium, bis(cyclopentadienyl) ruthenium, bis(methylcyclopentadienyl) ruthenium, bis(ethylcyclopentadienyl) ruthenium, and bis(pentamethylcyclopentadienyl) ruthenium, or derivatives thereof.

Cobalt precursors useful for depositing materials as described herein include cobalt carbonyl complexes, cobalt amidinates compounds, cobaltocene compounds, cobalt dienyl complexes, cobalt nitrosyl complexes, derivatives thereof, complexes thereof, plasma thereof, or combinations thereof. In some embodiments, cobalt materials may be deposited by CVD and ALD processes further described in commonly assigned U.S. Pat. Nos. 7,1164,846 and 7,404,985, which are herein incorporated by reference.

In some embodiments, cobalt carbonyl compounds or complexes may be utilized as cobalt precursors. Cobalt carbonyl compounds or complexes have the general chemical formula (CO)xCoyLz, where X may be 1, 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, or 12, Y may be 1, 2, 3, 4, or 5, and Z may be 1, 2, 3, 4, 5, 6, 7, or 8. The group L is absent, one ligand or multiple ligands, that may be the same ligand or different ligands, and include cyclopentadienyl, alkylcyclopentadienyl (e.g., methylcyclopentadienyl or pentamethylcyclopentadienyl), pentadienyl, alkylpentadienyl, cyclobutadienyl, butadienyl, ethylene, allyl (or propylene), alkenes, dialkenes, alkynes, acetylene, butylacetylene, nitrosyl, ammonia, or derivatives thereof.

In one embodiment, dicobalt hexacarbonyl acetyl compounds may be used to form cobalt materials (e.g., cobalt layer 220) during a deposition process. Dicobalt hexacarbonyl acetyl compounds may have the chemical formula of (CO)6Co2(RC≡CR′), wherein R and R′ are independently hydrogen, methyl, ethyl, propyl, isopropyl, butyl, tertbutyl, penta, benzyl, aryl, isomers thereof, derivatives thereof, or combinations thereof. In one example, dicobalt hexacarbonyl butylacetylene (CCTBA, (CO)6Co2(HC≡CtBu)) is the cobalt precursor. Other examples of dicobalt hexacarbonyl acetyl compounds include dicobalt hexacarbonyl methylbutylacetylene ((CO)6Co2(MeC≡CtBu)), dicobalt hexacarbonyl phenylacetylene ((CO)6Co2(HC≡CPh)), hexacarbonyl methylphenylacetylene ((CO)6Co2(MeC≡CPh)), dicobalt hexacarbonyl methylacetylene ((CO)6Co2(HC≡CMe)), dicobalt hexacarbonyl dimethylacetylene ((CO)6Co2(MeC≡CMe)), derivatives thereof, complexes thereof, plasmas thereof, or combinations thereof. Other exemplary cobalt carbonyl complexes include cyclopentadienyl cobalt bis(carbonyl) (CpCo(CO)2), tricarbonyl allyl cobalt ((CO)3Co(CH2CH═CH2)), or derivatives thereof.

In another embodiment, cobalt amidinates or cobalt amino complexes may be utilized as cobalt precursors. Cobalt amino complexes have the general chemical formula (RR′N)xCo, where X may be 1, 2, or 3, and R and R′ are independently hydrogen, methyl, ethyl, propyl, butyl, alkyl, silyl, alkylsilyl, derivatives thereof, or combinations thereof. Some exemplary cobalt amino complexes include bis(di(butyldimethylsilyl)amino) cobalt (((BuMe2Si)2N)2Co), bis(di(ethyldimethylsilyl)amino) cobalt (((EtMe2Si)2N)2Co), bis(di(propyldimethylsilyl)amino) cobalt (((PrMe2Si)2N)2Co), bis(di(trimethylsilyl)amino) cobalt (((Me3Si)2N )2Co), tris(di(trimethylsilyl )amino) cobalt (((Me3Si)2N)3Co), or derivatives thereof.

Some exemplary cobalt precursors include methylcyclopentadienyl cobalt bis(carbonyl) (MeCpCo(CO)2), ethylcyclopentadienyl cobalt bis(carbonyl) (EtCpCo(CO)2), pentamethylcyclopentadienyl cobalt bis(carbonyl) (Me5CpCo(CO)2), dicobalt octa(carbonyl) (Co2(CO)8), nitrosyl cobalt tris(carbonyl) ((ON)Co(CO)3), bis(cyclopentadienyl) cobalt, (cyclopentadienyl) cobalt (cyclohexadienyl), cyclopentadienyl cobalt (1,3-hexadienyl), (cyclobutadienyl) cobalt (cyclopentadienyl), bis(methylcyclopentadienyl) cobalt, (cyclopentadienyl) cobalt (5-methylcyclopentadienyl), bis(ethylene) cobalt (pentamethylcyclopentadienyl), cobalt tetracarbonyl iodide, cobalt tetracarbonyl trichlorosilane, carbonyl chloride tris(trimethylphosphine) cobalt, cobalt tricarbonyl-hydrotributylphosphine, acetylene dicobalt hexacarbonyl, acetylene dicobalt pentacarbonyl triethylphosphine, derivatives thereof, complexes thereof, plasma thereof, or combinations thereof.

Nitrogen precursors may be used to deposit nitride or nitrogen-containing materials. Nitrogen precursors useful for depositing materials as described herein include ammonia (NH3), hydrazine (N2H4), methyl hydrazine ((CH3)HN2H2), dimethyl hydrazine ((CH3)2N2H2), t-butylhydrazine (C4H9N2H3), phenylhydrazine (C6H5N2H3), other hydrazine derivatives, amines, a nitrogen plasma source (e.g., N2, atomic-N, N2/H2, NH3, or a N2H4 plasma), 2,2′-azotertbutane ((CH3)6C2N2), organic or alkyl azides, such as methylazide (CH3N3), ethylazide (C2H5N3), trimethylsilylazide (Me3SiN3), inorganic azides (e.g., NaN3 or CP2CoN3) and other suitable nitrogen sources. Radical nitrogen compounds, such as N3, N2, N, NH, or NH2, may be produced by heat, hot-wires, in situ plasma, or remote plasma. In one example, the nitrogen precursor is ammonia. In another example, the nitrogen precursor contains a nitrogen plasma formed in situ or by a remote plasma system.

Other reactive gases that may be used to deposit various materials, include tantalum nitride, tantalum-containing materials include oxygen sources and reductants. A tantalum-containing material, such as tantalum silicate, tantalum oxide, or tantalum oxynitride may be formed with the addition of an oxygen source to the vapor deposition (e.g., ALD or CVD) process. Oxygen sources or oxygen precursors include atomic-O, O2, O3, H2O, H2O2, organic peroxides, derivatives thereof, or combinations thereof. Reducing compounds may be included in the vapor deposition process to form a tantalum precursor, such as metallic tantalum, tantalum boron nitride or tantalum phosphorous nitride. Reducing compounds include borane (BH3), diborane (B2H6), alkylboranes (e.g., Et3B), phosphine (PH3), hydrogen (H2), derivatives thereof, or combinations thereof.

A detailed description for a processing chamber, such as an ALD chamber, is described in commonly assigned U.S. Pat. No. 6,916,398, and U.S. Ser. No. 10/281,079, filed Oct. 25, 2002, and published as U.S. Pub. No. 2003-0121608, which are herein incorporated by reference in their entirety. In one embodiment, a plasma-enhanced ALD (PE-ALD) process is used to deposit tantalum materials. A chamber and process to perform PE-ALD is further described in commonly assigned U.S. Pat. No. 6,998,014, which is herein incorporated by reference in its entirety. A detailed description for a vaporizer or an ampoule to pre-heat precursors, such as PDMAT or TAIMATA, is described in commonly assigned U.S. Pat. Nos. 6,915,592 and 7,186,385, which are herein incorporated by reference in their entirety. A detailed description for a system to deliver the precursors, such as PDMAT or TAIMATA, to processing chamber is described in commonly assigned U.S. Pat. No. 6,955,211, and U.S. Ser. No. 10/700,328, filed Nov. 3, 2003, and published as U.S. Pub. No. 2005-0095859, which are herein incorporated by reference in their entirety.

Embodiments of the invention provide deposition processes that may be used to deposit materials during a vapor deposition process, such as an ALD process. The processes may be used within a variety of vapor deposition processing chambers and gas delivery systems which contain an expanding channel lid assembly, a converge-diverge lid assembly, a multiple injection lid assembly, or an extended cap lid assembly. Other embodiments provide methods for depositing materials using these gas delivery systems during ALD processes.

In one embodiment, the deposition of a layer by ALD will be described in more detail in reference to the ALD of a tantalum nitride layer utilizing processes as described herein. In one aspect, ALD of a tantalum nitride barrier layer includes sequentially providing pulses of a tantalum precursor and pulses of a nitrogen precursor to the processing chamber in which each pulse is separated by a flow of a purge gas and/or chamber evacuation to remove any excess reactants to prevent gas phase reactions of the tantalum precursor with the nitrogen precursor and to remove any reaction by-products. Sequentially providing a tantalum precursor and a nitrogen precursor may result in the alternating absorption of monolayers of a tantalum precursor and of monolayers of a nitrogen precursor to form a monolayer of tantalum nitride on a substrate structure for each cycle of pulses. The term substrate structure is used to refer to the substrate as well as other material layers formed thereover, such as a dielectric layer.

It is believed that the adsorption processes used to adsorb the monolayer of the reactants, such as the tantalum precursor and the nitrogen precursor, are self-limiting in that only one monolayer may be adsorbed onto the surface of the substrate structure during a given pulse because the surface of the substrate structure has a finite number of sites for adsorbing the reactants. Once the finite number of sites is occupied by the reactants, such as the tantalum precursor or the nitrogen precursor, further absorption of the reactants will be blocked. The cycle may be repeated to a desired thickness of the tantalum nitride layer.

A continuous flow or a discontinuous flow of a treatment gas, such as DMA, may be introduced into the processing chamber from a gas source or ampoule through another valve. The treatment gas may be provided with the aid of a carrier gas, which includes, but is not limited to, helium, argon, nitrogen (N2), hydrogen (H2), or gaseous mixtures thereof. Pulses of a tantalum precursor, such as PDMAT, may be introduced by a gas source or ampoule through a valve. The tantalum precursor may be provided with the aid of a carrier gas, which includes, but is not limited to, helium, argon, nitrogen (N2), hydrogen (H2), or gaseous mixtures thereof. Pulses of a nitrogen precursor, such as ammonia, may be introduced by a gas source through another valve. A carrier gas may also be used to help deliver the nitrogen precursor. A purge gas, such as argon or nitrogen, may be introduced by a gas source through the same of different valves as for the tantalum and nitrogen precursors.

In one aspect, the flow of purge gas may be continuously provided by the gas source through the valves to act as a purge gas between the pulses of the tantalum precursor and of the nitrogen precursor and to act as a carrier gas during the pulses of the tantalum precursor and the nitrogen precursor. In one aspect, delivering a purge gas through two gas conduits provides a more complete purge of the reaction zone rather than a purge gas provided through either one of the gas conduits. In one aspect, a reactant gas may be delivered through a gas conduit since uniformity of flow of a reactant gas, such as a tantalum precursor or a nitrogen precursor, is not as critical as uniformity of the purge gas due to the self-limiting absorption process of the reactants on the surface of substrate structures. In other embodiments, a purge gas may be provided in pulses. In other embodiments, a purge gas may be provided in more or less than two gas flows. In other embodiments, a tantalum precursor gas may be provided in more than a single gas flow (e.g., two or more gas flows). In other embodiments, a nitrogen precursor gas may be provided in more than a single gas flow (e.g., two or more gas flows).

The tantalum nitride layer formation is described as starting with the absorption of a monolayer of a tantalum precursor on the substrate followed by a monolayer of a nitrogen precursor. Alternatively, the tantalum nitride layer formation may start with the absorption of a monolayer of a nitrogen precursor on the substrate followed by a monolayer of the tantalum precursor. Furthermore, in other embodiments, a pump evacuation alone between pulses of reactant gases may be used to prevent mixing of the reactant gases.

The time duration for each pulse of the tantalum precursor, the time duration for each pulse of the nitrogen precursor, and the duration of the purge gas flow between pulses of the reactants are variable and depend on the volume capacity of a deposition chamber employed as well as a vacuum system coupled thereto. For example, (1) a lower chamber pressure of a gas will require a longer pulse time; (2) a lower gas flow rate will require a longer time for chamber pressure to rise and stabilize requiring a longer pulse time; and (3) a large-volume chamber will take longer to fill, longer for chamber pressure to stabilize thus requiring a longer pulse time. Similarly, time between each pulse is also variable and depends on volume capacity of the processing chamber as well as the vacuum system coupled thereto. In general, the time duration of a pulse of the tantalum precursor or the nitrogen precursor should be long enough for absorption of a monolayer of the compound. In one aspect, a pulse of a tantalum precursor may still be in the chamber when a pulse of a nitrogen precursor enters. In general, the duration of the purge gas and/or pump evacuation should be long enough to prevent the pulses of the tantalum precursor and the nitrogen precursor from mixing together in the reaction zone.

Generally, a pulse time of about 1.0 second or less for a tantalum precursor and a pulse time of about 1.0 second or less for a nitrogen precursor are typically sufficient to adsorb alternating monolayers on a substrate structure. A time of about 1.0 second or less between pulses of the tantalum precursor and the nitrogen precursor is typically sufficient for the purge gas, whether a continuous purge gas or a pulse of a purge gas, to prevent the pulses of the tantalum precursor and the nitrogen precursor from mixing together in the reaction zone. Of course, a longer pulse time of the reactants may be used to ensure absorption of the tantalum precursor and the nitrogen precursor and a longer time between pulses of the reactants may be used to ensure removal of the reaction by-products.

In one example, a processing chamber, a substrate, or a substrate support may be maintained approximately below a thermal decomposition temperature of a selected tantalum precursor during an ALD process. An exemplary heater temperature range to be used with tantalum precursors identified herein is approximately between about 20° C. and about 500° C. at a chamber pressure less than about 100 Torr, preferably less than 50 Torr. When the tantalum precursor is PDMAT, the heater temperature is preferably within a range from about 150° C. to about 350° C., more preferably, from about 250° C. and 300° C., and the internal pressure of the processing chamber may be within a range from about 5 Torr to about 20 Torr. In other embodiments, it should be understood that other temperatures and pressures may be used. For example, a temperature above a thermal decomposition temperature may be used. However, the temperature should be selected so that more than 50 percent of the deposition activity is by absorption processes. In another example, a temperature above a thermal decomposition temperature may be used in which the amount of decomposition during each precursor deposition is limited so that the growth mode will be similar to an ALD growth mode.

In one example, the processing chamber may be exposed to a treatment process and subsequently, to an ALD process. The process may provide pulses of the tantalum precursor gas (e.g., PDMAT in argon) from a gas source or ampoule and having a flow rate within a range from about 100 sccm to about 1,000 sccm, preferably, from about 300 sccm to about 700 sccm, through an ALD valve having a pulse time of about 1 seconds or less. The process may further provide pulses of the nitrogen precursor gas (e.g., ammonia) may be provided from another gas source at a flow rate within a range from about 20 sccm and about 1,000 sccm, preferably, from about 100 sccm to about 300 sccm, through an ALD valve having a pulse time of about 1 second or less. An argon purge gas may have a flow rate within a range from about 1 slm to about 12 slm sccm, preferably, from about 2 slm to about 8 slm, and may be continuously provided from the gas source through the valves, as well as through other inlets on the processing chamber. The time between pulses of the tantalum precursor and the nitrogen precursor may be about 0.5 seconds or less.

In one embodiment, a tantalum nitride layer may be deposited to a sidewall of a via or a similar aperture with a thickness of about 50 Å or less, preferably, about 20 Å or less, and more preferably, about 10 Å or less. A tantalum nitride layer with a thickness of about 10 Å or less is believed to be a sufficient thickness in the application as a barrier layer to prevent copper diffusion. In other embodiments, the tantalum nitride layer may have a thickness greater than 50 Å. In one aspect, a thin barrier layer containing tantalum nitride deposited by the processes described herein may be used in filling submicron (e.g., less than 0.15 μm) and smaller features having high aspect ratios (e.g., greater than 5 to 1).

“Atomic layer deposition” (ALD), as used herein, refers to the sequential introduction of two or more reactive compounds to deposit a layer of material on a substrate surface. The two, three, or more reactive compounds may alternatively be introduced into a reaction zone or process region of a processing chamber. The reactive compounds may be in a state of gas, plasma, vapor, fluid or other state of matter useful for a vapor deposition process. Usually, each reactive compound is separated by a time delay to allow each compound to adhere and/or react on the substrate surface. In one aspect, a first precursor or compound A is pulsed into the reaction zone followed by a first time delay. Next, a second precursor or compound B is pulsed into the reaction zone followed by a second delay. Compound A and compound B react to form a deposited material. During each time delay a purge gas is introduced into the processing chamber to purge the reaction zone or otherwise remove any residual reactive compound or by-products from the reaction zone. Alternatively, the purge gas may flow continuously throughout the deposition process so that only the purge gas flows during the time delay between pulses of reactive compounds. The reactive compounds are alternatively pulsed until a desired film thickness of the deposited material is formed on the substrate surface. In either scenario, the ALD process of pulsing compound A, purge gas, pulsing compound B and purge gas is a cycle. A cycle can start with either compound A or compound B and continue the respective order of the cycle until achieving a film with the desired thickness. In an alternative embodiment, a first precursor containing compound A, a second precursor containing compound B and a third precursor containing compound C are each separately pulsed into the processing chamber. Alternatively, a pulse of a first precursor may overlap in time with a pulse of a second precursor while a pulse of a third precursor does not overlap in time with either pulse of the first and second precursors. “Process gas” as used herein refers to a single gas, multiple gases, a gas containing a plasma, combinations of gas(es) and/or plasma(s). A process gas may contain at least one reactive compound for a vapor deposition process. The reactive compounds may be in a state of gas, plasma, vapor, fluid, or other state of matter useful for a vapor deposition process. Also, a process gas may contain a purge gas or a carrier gas and not contain a reactive compound.

“Substrate” or “substrate surface,” as used herein, refers to any substrate or material surface formed on a substrate upon which film processing is performed. For example, a substrate surface on which processing can be performed include materials such as silicon, silicon oxide, strained silicon, silicon on insulator (SOI), carbon doped silicon oxides, silicon nitride, doped silicon, germanium, gallium arsenide, glass, sapphire, quartz, and any other materials such as metals, metal nitrides, metal alloys, and other conductive materials, depending on the application. Barrier layers, metals or metal nitrides on a substrate surface may include titanium, titanium nitride, titanium silicide nitride, tungsten, tungsten nitride, tungsten silicide nitride, tantalum, tantalum nitride, or tantalum silicide nitride. Substrates may have various dimensions, such as 200 mm or 300 mm diameter wafers, as well as, rectangular or square panes. Substrates include semiconductor substrates, display substrates (e.g., LCD), solar panel substrates, and other types of substrates. Unless otherwise noted, embodiments and examples described herein may be conducted on substrates with a 200 mm diameter or a 300 mm diameter. Substrates on which embodiments of the invention may be useful include, but are not limited to semiconductor wafers, such as crystalline silicon (e.g., Si<100> or Si<111>), silicon oxide, glass, quartz, strained silicon, silicon germanium, doped or undoped polysilicon, doped or undoped silicon wafers and patterned or non-patterned wafers. Substrates may be exposed to a treatment process to polish, etch, reduce, oxidize, hydroxylate, anneal, and/or heat the substrate surface.

Although the invention has been described in terms of specific embodiments, one skilled in the art will recognize that various changes to the reaction conditions, e.g., temperature, pressure, film thickness and the like can be substituted and are meant to be included herein and sequence of gases being deposited. For example, sequential deposition process may have different initial sequence. The initial sequence may include exposing the substrate to the nitrogen precursor gas before the tantalum precursor gas is introduced into the processing chamber. In addition, the tantalum nitride layer may be employed for other features of circuits in addition to functioning as a diffusion barrier for contacts. Therefore, the scope of the invention should not be based upon the foregoing description. Rather, the scope of the invention should be determined based upon the claims recited herein, including the full scope of equivalents thereof.

While the foregoing is directed to embodiments of the invention, other and further embodiments of the invention may be devised without departing from the basic scope thereof, and the scope thereof is determined by the claims that follow.

Claims

1. A method for depositing a material on a substrate surface, comprising:

exposing a substrate sequentially to an alkylamino metal precursor gas and a second precursor gas while depositing a material on the substrate during an atomic layer deposition process; and
exposing the substrate to a treatment gas comprising an alkylamine compound prior to or during the atomic layer deposition process.

2. The method of claim 1, wherein the substrate is continuously exposed to the treatment gas during the atomic layer deposition process.

3. The method of claim 1, wherein the substrate is periodically exposed to the treatment gas during the atomic layer deposition process.

4. The method of claim 1, wherein the substrate is exposed to the treatment gas prior to the atomic layer deposition process.

5. The method of claim 1, wherein the exposing the substrate to the treatment gas reduces the deposition rate of the material during the atomic layer deposition process by about 95% or less.

6. The method of claim 1, wherein the material is deposited on the substrate at a deposition rate within a range from about 0.05 Å/cycle to about 1.0 Å/cycle.

7. The method of claim 6, wherein the deposition rate is about 0.5 Å/cycle.

8. The method of claim 1, wherein the alkylamine compound has the chemical formula of H2NR or HNR′R″, where each R, R′, and R″ is independently selected from the group consisting of methyl, ethyl, propyl, butyl, amyl, phenyl, aryl, isomers thereof, derivatives thereof, and combinations thereof.

9. The method of claim 8, wherein the alkylamine compound is selected from the group consisting of methylamine, dimethylamine, ethylamine, diethylamine, methylethylamine, propylamine, dipropylamine, butylamine, dibutylamine, isomers thereof, derivatives thereof, and combinations thereof.

10. The method of claim 1, wherein the alkylamino metal precursor gas comprises a tantalum precursor selected from the group consisting of pentakis(dimethylamino) tantalum, pentakis(diethylamino) tantalum, pentakis(ethylmethylamino) tantalum, tert-butylimino tris(dimethylamino) tantalum, tert-butylimino tris(diethylamino) tantalum, tert-butylimino tris(ethylmethylamino) tantalum, tert-amylimino-tris(dimethylamino) tantalum, tert-amylimino-tris(diethylamino) tantalum, tert-amylimino-tris(ethylmethylamino) tantalum, and derivatives thereof.

11. The method of claim 10, wherein the tantalum precursor is pentakis(dimethylamino) tantalum and the alkylamine compound gas comprises methylamine or dimethylamine.

12. The method of claim 10, wherein the second precursor gas comprises a nitrogen precursor and the material deposited comprises tantalum nitride.

13. The method of claim 12, wherein the nitrogen precursor comprises ammonia.

14. The method of claim 1, wherein the treatment gas further comprises at least one carrier gas selected from the group consisting of ammonia, hydrogen, nitrogen, argon, helium, and combinations thereof.

15. The method of claim 14, wherein the treatment gas comprises dimethylamine, ammonia, and argon.

16. A method for depositing a material on a substrate surface, comprising:

exposing a substrate sequentially to an alkylamino metal precursor gas and a second precursor gas while depositing a material on the substrate at a first deposition rate during an atomic layer deposition process within a processing chamber;
exposing the substrate to a treatment gas comprising an alkylamine compound prior to or during the atomic layer deposition process; and
depositing the material on the substrate at a second deposition rate during the atomic layer deposition process, wherein the second deposition rate is less than the first deposition rate.

17. The method of claim 16, wherein the second deposition rate is about 95% or less of the first deposition rate.

18. The method of claim 16, wherein the second deposition rate is within a range from about 0.05 Å/cycle to about 1.0 Å/cycle.

19. The method of claim 18, wherein the second deposition rate is about 0.5 Å/cycle.

20. The method of claim 16, wherein the alkylamino metal precursor gas comprises a tantalum precursor selected from the group consisting of pentakis(dimethylamino) tantalum, pentakis(diethylamino) tantalum, pentakis(ethylmethylamino) tantalum, tert-butylimino tris(dimethylamino) tantalum, tert-butylimino tris(diethylamino) tantalum, tert-butylimino tris(ethylmethylamino) tantalum, tert-amylimino-tris(dimethylamino) tantalum, tert-amylimino-tris(diethylamino) tantalum, tert-amylimino-tris(ethylmethylamino) tantalum, and derivatives thereof.

21. The method of claim 20, wherein the tantalum precursor is pentakis(dimethylamino) tantalum and the alkylamine compound gas comprises methylamine or dimethylamine.

22. The method of claim 20, wherein the second precursor gas comprises ammonia and the material deposited comprises tantalum nitride.

23. The method of claim 16, wherein the treatment gas comprises dimethylamine, ammonia, and argon.

24. A method for depositing a material on a substrate surface, comprising:

exposing a substrate disposed within the processing chamber to a carrier gas having a continuous flow;
exposing the substrate sequentially to a tantalum precursor gas and a nitrogen precursor gas while depositing a tantalum nitride material on the substrate during an atomic layer deposition process, wherein the tantalum precursor gas comprises pentakis(dimethylamino) tantalum, and the atomic layer deposition process comprises sequentially pulsing the tantalum precursor gas and the nitrogen precursor gas into the carrier gas with the continuous flow to deposit the tantalum nitride material; and
introducing a treatment gas comprising dimethylamine to the carrier gas to expose the substrate to the treatment gas prior to or during the atomic layer deposition process.

25. The method of claim 24, wherein the exposing the substrate to the treatment gas reduces the deposition rate of the material during the atomic layer deposition process by about 95% or less.

Patent History
Publication number: 20100062149
Type: Application
Filed: May 13, 2009
Publication Date: Mar 11, 2010
Applicant: APPLIED MATERIALS, INC. (Santa Clara, CA)
Inventors: Paul Ma (Santa Clara, CA), Joseph F. Aubuchon (San Jose, CA), Jiang Lu (Santa Clara, CA), Mei Chang (Saratoga, CA)
Application Number: 12/465,471
Classifications
Current U.S. Class: Metallic Compound Coating (427/126.1)
International Classification: B05D 5/12 (20060101);