SEQUENTIAL DEPOSITION OF TANTALUM NITRIDE USING A TANTALUM-CONTAINING PRECURSOR AND A NITROGEN-CONTAINING PRECURSOR

Embodiments of the invention provide a method for forming tantalum nitride materials on a substrate by employing an atomic layer deposition (ALD) process. The method includes heating a tantalum precursor within an ampoule to a predetermined temperature to form a tantalum precursor gas and sequentially exposing a substrate to the tantalum precursor gas and a nitrogen precursor to form a tantalum nitride material. Thereafter, a nucleation layer and a bulk layer may be deposited on the substrate. In one example, a radical nitrogen compound may be formed from the nitrogen precursor during a plasma-enhanced ALD process. A nitrogen precursor may include nitrogen or ammonia. In another example, a metal-organic tantalum precursor may be used during the deposition process.

Skip to: Description  ·  Claims  · Patent History  ·  Patent History
Description
CROSS-REFERENCE TO RELATED APPLICATIONS

This application is a continuation of co-pending U.S. patent application Ser. No. 12/417,439, filed on Apr. 2, 2009, which application is a continuation of U.S. patent application Ser. No. 11/231,386, filed on Sep. 21, 2005, now issued as U.S. Pat. No. 7,514,358 on Apr. 7, 2009, which application is a continuation of U.S. patent application Ser. No. 10/379,438, filed on Mar. 4, 2003, now issued as U.S. Pat. No. 6,972,267 on Dec. 6, 2005, which application claims benefit of U.S. Provisional Patent Application Ser. No. 60/362,189, filed Mar. 4, 2002, which are all herein incorporated by reference in their entirety.

BACKGROUND OF THE INVENTION

1. Field of the Invention

This invention relates to semiconductor processing. More particularly, this invention relates to improvements in the process of depositing refractory metal layers on semiconductor substrates using sequential deposition techniques.

2. Description of the Related Art

The semiconductor industry continues to strive for larger production yields while increasing the uniformity of layers deposited on substrates having increasingly larger surface areas. These same factors in combination with new materials also provide higher integration of circuits per unit area on the substrate. As circuit integration increases, the need for greater uniformity and process control regarding layer characteristics rises. Formation of refractory metal layers in multi-level integrated circuits poses many challenges to process control, particularly with respect to contact formation.

Contacts are formed by depositing conductive interconnect material in an opening on the surface of insulating material disposed between two spaced-apart conductive layers. The aspect ratio of such an opening inhibits deposition of conductive interconnect material that demonstrates satisfactory step coverage and gap-fill, employing traditional interconnect material such as aluminum. In addition, the resistance of aluminum has frustrated attempts to increase the operational frequency of integrated circuits.

Attempts have been made to provide interconnect material with lower electrical resistivity than aluminum. This has led to the substitution of copper for aluminum. Copper suffers from diffusion resulting in the formation of undesirable intermetallic alloys that require the use of barrier materials.

Barrier layers formed from sputtered tantalum (Ta) and reactive sputtered tantalum nitride (TaN) have demonstrated properties suitable for use with copper. Exemplary properties include high conductivity, high thermal stability and resistance to diffusion of foreign atoms. However, sputter deposition of tantalum and/or tantalum nitride films is limited to use for features of relatively large sizes, e.g., >0.3 μm and contacts in vias having small aspect ratios.

A CVD process offers an inherent advantage over a PVD process of better conformability, even in small structures 0.25 μm with high aspect ratios. As a result, CVD deposition of tantalum and tantalum nitride with various metal-organic sources has been employed. Examples of metal-organic sources include tertbutylimidotris(diethylamido) tantalum (TBTDET), pentakis (dimethylamido) tantalum (PDMAT) and pentakis (diethylamido) tantalum (PDEAT).

Attempts have been made to use existing CVD-based tantalum deposition techniques in an atomic layer deposition (ALD) mode. Such attempts, however, suffer drawbacks. For example, formation of tantalum films from tantalum pentachloride (TaCl5) may require as many as three treatment cycles using various radial based chemistries to perform reduction process of the tantalum to form tantalum nitride. Processes using TaCl5 may suffer from chlorine contamination within the tantalum nitride layer.

There is a need, therefore, for tantalum chemistries that may be employed with fewer reduction steps and shorter cycle times.

SUMMARY OF THE INVENTION

A method for forming a tantalum-containing layer on a substrate disposed in a processing chamber, comprising heating a TBTDET precursor to a predetermined temperature of at least 65° C. to form a tantalum-containing gas, forming a tantalum-containing layer upon the substrate by adsorption of the tantalum-containing gas onto the substrate, reacting a nitrogen-containing process gas with the tantalum-containing layer to produce a layer of tantalum nitride and repeating forming the tantalum-containing layer and reacting the nitrogen-containing process gas with the tantalum-containing layer to form a layer of tantalum nitride of desired thickness, defining a final tantalum nitride layer. In accordance with another embodiment of the present invention an apparatus is disclosed that carries-out the steps of the method.

BRIEF DESCRIPTION OF THE DRAWINGS

So that the manner in which the above recited features of the present invention can be understood in detail, a more particular description of the invention, briefly summarized above, may be had by reference to embodiments, some of which are illustrated in the appended drawings. It is to be noted, however, that the appended drawings illustrate only typical embodiments of this invention and are therefore not to be considered limiting of its scope, for the invention may admit to other equally effective embodiments.

FIG. 1 is a detailed cross-sectional view of a substrate before deposition of a tantalum nitride layer in accordance with one embodiment of the present invention;

FIG. 2 is a detailed cross-sectional view of a substrate shown above in FIG. 1 after deposition of a tantalum nitride (TaN) layer and a copper contact in accordance with one embodiment of the present invention;

FIG. 3 is a schematic view showing deposition of a first molecule onto a substrate during sequential deposition techniques in accordance with one embodiment of the present invention;

FIG. 4 is a schematic view showing deposition of second molecule onto a substrate during sequential deposition techniques in accordance with one embodiment of the present invention;

FIG. 5 is a graphic representation showing the growth rate per cycle of a tantalum nitride layer versus a pre-heating temperature of a TBTDET precursor, in accordance with the present invention;

FIG. 6 is a perspective view of a semiconductor processing system in accordance with the present invention;

FIG. 7 is a detailed view of the processing chambers shown above in FIG. 6;

FIG. 8 is flow diagram showing a method of depositing a tantalum nitride layer, in accordance with one embodiment of the present invention;

FIG. 9 is flow diagram showing a method of depositing a tantalum nitride layer, in accordance with one embodiment of the present invention; and

FIG. 10 is flow diagram showing a method of depositing a tantalum nitride layer, in accordance with one embodiment of the present invention.

DETAILED DESCRIPTION

Referring to FIG. 1 an exemplary structure upon which a tantalum nitride layer, discussed more fully below, is formed in accordance with the present invention is shown as a substrate 10. Substrate 10 includes a wafer 12 that may have one or more layers, shown as layer 14, disposed thereon. Wafer 12 may be formed from any material suitable for semiconductor processing, such as silicon, and layer 14 may be formed from any suitable material, including dielectric or conductive materials. For purposes of the present example, layer 14 includes a void 16, exposing a region 18 of wafer 12.

Embodiments of the processes described herein deposit tantalum-containing materials or tantalum nitride on many substrates and surfaces. Substrates on which embodiments of the invention may be useful include, but are not limited to semiconductor wafers, such as crystalline silicon (e.g., Si<100> or Si<111>), silicon oxide, silicon germanium, doped or undoped polysilicon, doped or undoped silicon wafers silicon nitride and patterned or non-patterned wafers. Surfaces include bare silicon wafers, films, layers and materials with dielectric, conductive and barrier properties and include aluminum oxide and polysilicon. Pretreatment of surfaces includes polishing, etching, reduction, oxidation, hydroxylation, annealing or baking the substrate. A substrate can be pretreated to be terminated with a variety of functional groups such as hydroxyls (OH), alkoxy (OR, where R=Me, Et, Pr or Bu), haloxyls (OX, where X═F, Cl, Br or I), halides (F, Cl, Br or I), oxygen radicals, aminos (NH or NH2) or amidos (NR or NR2, where R=Me, Et, Pr or Bu).

Referring to FIG. 2, formed adjacent to layer 14 and region 18 is a barrier layer 20 containing a refractory metal compound, such as tantalum. In the present example, barrier layer 20 is formed from tantalum nitride, TaN, by sequentially exposing substrate 10 to processing gases to form layers of differing compounds on substrate 10. Although not required, in this present case monolayers of differing compounds may be formed. Tantalum nitride barrier layer 20 conforms to the profile of void 16 so as to cover region 18 and layer 14. A contact 22 is fabricated in accordance with the present invention by formation of a copper layer 24 adjacent to barrier layer 20, filling void 16. Copper layer 24 may be formed using standard techniques (e.g., ALD, PVD, CVD and/or electroplating) and include seed formation and/or fill.

With this configuration, a contact consisting of tantalum nitride barrier layer 20 and copper layer 24 is formed. Tantalum nitride barrier layer 20 serves as a seed layer to promote the formation of copper layer 24 using, for example, electroplating techniques. Important characteristics that barrier layer 20 should demonstrate include good step coverage and thickness uniformity. To that end, tantalum nitride barrier layer 20 is deposited employing sequential techniques, such as atomic layer deposition.

Referring to FIGS. 2, 3 and 4, one example of forming barrier layer 20 employing sequential deposition techniques includes exposing substrate 10 to a tantalum-containing gas formed from vaporization of a liquid precursor (tBuN)Ta(NEt2)3 (TBTDET) to form a tantalum-containing gas that includes TBTDET. It is believed that the initial surface of substrate 10, e.g., the surface of layer 14 and region 18, presents active ligands to the tantalum-containing gas. To that end, substrate 10 is heated within a range from about 250° C. to about 450° C. and placed in a controlled environment that is pressurized within a range from about 1 Torr to about 100 Torr, inclusive. Substrate 10 is exposed to a process gas that includes the tantalum-containing gas and a carrier gas. The carrier gas may be Ar, He, N2, H2 or combinations thereof and may be used as a purge gas. This results in a tantalum-containing layer being deposited on substrate 10. It is believed that the tantalum-containing layer has a surface of ligands comprising amido (-NEt2) and imido (=NtBu), shown generally as “a”. The tantalum-containing layer includes bound tantalum complexes with ligands, such that “a”=0-5, often 3 or 4.

The tantalum-containing layer is exposed to another process gas that includes a nitrogen-containing gas and a carrier gas to form the tantalum-containing layer forming a barrier layer 20 of tantalum nitride. In this example, the nitrogen-containing gas is NH3 gas and either Ar or N2 is the carrier gas. It is believed that the amido and imido ligands in the exposed surface of the tantalum-containing layer react with the NH3 process gas to form byproducts that include radicals (e.g., NH2, NEt2, NtBu, HNtBu or tBu), butene, amines (e.g., HNEt2 or H2NtBu), (Et2N)2 and H2 among others. In this manner, a surface comprising a layer of tantalum nitride molecules is formed upon substrate 10.

Although not required, the tantalum nitride layer may be a monolayer of tantalum nitride molecules. In some embodiments, the process proceeds cycle after cycle, until tantalum nitride barrier layer 20 has a desired thickness achieved, with each cycle having a duration from about 0.01 seconds to about 60 seconds, preferably from about 0.1 seconds to about 10 seconds, depending upon the processing system employed. The tantalum nitride barrier layer 20 generally has a thickness in the range from about 10 Å to about 1,000 Å.

An important precursor characteristic is to have a favorable vapor pressure. Precursors may be a plasma, gas, liquid or solid at ambient temperature and pressure. However, within the ALD chamber, precursors are volatilized. Organometallic compounds or complexes that may be heated prior to delivery include any chemical containing a metal and at least one organic group, such as alkyls, alkoxyls, alkylamidos and anilides. Precursors comprise of organometallic and halide compounds.

Exemplary tantalum precursors that may be heated to form tantalum-containing gases include tantalum compounds containing ligands such as alkylamidos, alkylimidos, cyclopentadienyls, halides, alkyls, alkoxides or combinations thereof. Alkylamido tantalum compounds used as tantalum precursors include (RR′N)5Ta, where R or R′ are independently hydrogen, methyl, ethyl, propyl or butyl. Alkylimido tantalum compounds used as tantalum precursors include (RN)(R′R″N)3Ta, where R, R′ or R″ are independently hydrogen, methyl, ethyl, propyl or butyl. Specific tantalum precursors include: (Et2N)5Ta, (Me2N)5Ta, (EtMeN)5Ta, (Me5C5)TaCl4, (acac)(EtO)4Ta, Br5Ta, Cl5Ta, I5Ta, F5Ta, (NO3)5Ta, (tBuO)5Ta, (1PrO)5Ta, (EtO)5Ta and (MeO)5Ta.

Exemplary nitrogen precursors utilized in nitrogen-containing gases include: NH3, N2, hydrazines (e.g., N2H4 or MeN2H3), amines (e.g., Me3N, Me2NH or MeNH2), anilines (e.g., C6H5NH2), organic azides (e.g., MeN3 or Me3SiN3), inorganic azides (e.g., NaN3 or Cp2CoN3) and radical nitrogen compounds (e.g., N3, N2, N, NH or NH2). Radical nitrogen compounds can be produced by heat, hot-wires and/or plasma.

Referring to FIGS. 4 and 5, it was discovered that the time required to form tantalum nitride barrier layer 20 may be reduced by heating the TBTDET precursor before formation of the tantalum-containing layer on substrate 10. As shown by curve 30 it was found that by heating the TBTDET precursor in the range from about 65° C. to about 150° C., shown as segment 32, the growth rate of the layers of tantalum nitride per ALD cycle may be maximized. Specifically, point 34 shows the growth rate at about 65° C. being a little less than about 0.9 Å per cycle. Point 36 shows the growth rate at about 90° C. being a little less than about 1.2 Å per cycle, and point 38 shows the growth rate at about 150° C. being approximately 2.0 Å per cycle. A segment 40 of curve 30 shows that for temperatures below about 65° C., the growth rate of tantalum nitride is substantially reduced. A segment 42 of curve 30 shows that for temperatures above about 150° C., the growth rate of tantalum nitride is substantially reduced. Thus, the slope of a segment 32 of curve 30 shows that the growth rate of tantalum nitride barrier layer 20 is greater for temperatures within a range from about 65° C. to about 150° C. compared to other temperatures for the TBTDET precursor.

Referring to FIG. 6, an exemplary wafer processing system employed to deposit a tantalum nitride layer in accordance with the present invention includes one or more processing chambers 44, 45 and 46. Processing chambers 44, 45 and 46 are disposed in a common work area 48 surrounded by a wall 50. Processing chambers 44, 45 and 46 are in data communication with a controller 54 that is connected to one or more monitors, shown as 56 and 58. Monitors 56 and 58 typically display common information concerning the process associated with the processing chambers 44, 45 and 46. Monitor 58 is mounted to the wall 50, with monitor 56 being disposed in the work area 48. Operational control of processing chambers 44, 45 and 46 may be achieved with use of a light pen, associated with one of monitors 56 and 58, to communicate with controller 54. For example, a light pen 60a is associated with monitor 56 and facilitates communication with the controller 54 through monitor 56. A light pen 60b facilitates communication with controller 54 through monitor 58.

Referring to both FIGS. 6 and 7, each of processing chambers 44, 45 and 46 includes a housing 62 having a base wall 64, a cover 66, disposed opposite to base wall 64, and a sidewall 67, extending there between. Housing 62 defines a chamber 68. A pedestal 69 is disposed within processing chamber 68 to support substrate 10. Pedestal 69 may be mounted to move between cover 66 and base wall 64, using a displacement mechanism (not shown), but is typically fixed proximate to base wall 64. Supplies of processing fluids 70a, 70b, 70c and 71 are in fluid communication with processing chamber 68 via a manifold 72. In the present example supply 70a may contain NH3, supply 70b may contain N2 and supply 70c may contain Ar. Process fluid supply 71 includes an ampoule 71a in fluid communication with a vaporizer 71b. Ampoule 71a includes a supply of TBTDET precursor 71c and is in fluid communication with supply 70c. Ampoule 71a is in fluid communication with vaporizer 71b via precursor channel 71d to deliver, to processing chamber 68, precursor 71c, with the aid of carrier gas in supply 70c. Ampoule 71a, liquid 71c and channel 71d may be heated by conventional heating methods, e.g., heating tape in the range from about 65° C. to about 150° C. Regulation of the flow of gases from supplies 70a, 70b, 70c and 71 is effectuated via flow valves 73 that are regulated by computer control, discussed more fully below. Flow valves 73 may be any suitable valve. Actuation rates of flow valves 73 may be in the range of a microsecond to several milliseconds to seconds.

Substrate 10 is heated to processing temperature by a heater embedded within pedestal 69. For example, pedestal 69 may be resistively heated by applying an electric current from an AC power supply 75 to a heater element 76. Substrate 10 is, in turn, heated by pedestal 69, and can be maintained within a desired process temperature range, with the actual temperature varying dependent upon the gases employed and the topography of the surface upon which deposition is to occur. A temperature sensor 78, such as a thermocouple, is also embedded in pedestal 69 to monitor the temperature of pedestal 69 in a conventional manner. For example, the measured temperature may be used in a feedback loop to control the electrical current applied to heater element 76 by power supply 75, such that the wafer temperature can be maintained or controlled at a desired temperature that is suitable for the particular process application. Substrate 10 may be heated using radiant heat, e.g., heat lamps or plasma (not shown). A vacuum pump 80 is used to evacuate processing chamber 68 and to help maintain the proper gas flows and pressure inside processing chamber 68.

Referring to FIGS. 7 and 8, a method in accordance with one embodiment of the present invention includes heating substrate 10 to a processing temperature within a range from about 250° C. to about 450° C. at step 100. At step 102 processing chamber 68 is pressurized within a range from about 1 Torr to about 100 Torr. This is achieved by activating vacuum pump 80 to evacuate processing chamber 68. At step 104, the TBTDET precursor is heated in ampoule 71a within a range from about 65° C. to about 150° C. This forms a tantalum-containing gas that includes TBTDET. At step 106 a purge gas, such as argon, Ar, is flowed into processing chamber 68 for a sufficient amount of time to purge processing chamber 68. The actual time during which Ar is flowed into processing chamber 68 is dependent upon the system employed.

In the present example, Ar is flowed into processing chamber 68 in a range of from about 5 to about 10 seconds to purge processing chamber 68. At step 108, the tantalum-containing gas is flowed into processing chamber 68 along with Ar gas to create a tantalum-containing layer on substrate 10 that includes TBTDET. To that end, Ar gas from supply 70c is flowed into ampoule 71a at a rate in the range from about 50 sccm to about 2,000 sccm, preferably about 500 sccm. After a sufficient time, which is dependent upon the process system employed, the flow of tantalum-containing gas is terminated, at step 110. In the present example, the flow of tantalum-containing gas is terminated after about 5 seconds to about 25 seconds after the flow commenced. The flow of Ar gas may terminate with the flow of tantalum-containing gas. Alternatively, the flow of Ar gas may continue for a sufficient amount of time, depending upon the processing system employed, to ensure removal from processing chamber 68 of tantalum-containing gas and reaction byproducts, at step 110.

In the present example the time that the flow of Ar gas continues is in the range from about 5 seconds to about 10 seconds. Subsequently at step 112, a nitrogen-containing gas, such as NH3 gas, is pulsed into processing chamber 68, along with the purge gas for a sufficient amount of time to create a reaction between nitrogen, in the NH3 gas, and the tantalum-containing layer to form a layer of tantalum nitride. The resulting layer of tantalum nitride may be a monolayer of tantalum nitride molecules. To that end, the duration of the pulse of NH3 gas is dependent upon the processing system employed, but in the present example the flow of NH3 gas was in the range from about 5 seconds to about 35 seconds. The pulse of the nitrogen-containing gas into processing chamber 68 is subsequently terminated, at step 114. The flow of the purge gas may be terminated along with the flow of the nitrogen-containing gas. Alternatively, the flow of the purge gas may continue at step 114. In this manner, NH3 gas and byproducts of the reaction of nitrogen with the tantalum-containing layer are removed from processing chamber 68. This completes one cycle of the sequential deposition technique in accordance with the present invention. The aforementioned cycle is repeated multiple times until barrier layer 20 reaches a desired thickness shown in FIG. 2.

It has been found that each cycle results in the formation of a tantalum nitride layer having a thickness within a range from about 0.9 Å to about 1.2 Å. As a result, at step 116, it is determined whether the tantalum nitride layer has reached a desired thickness employing any known means in the art. Were it determined that the tantalum nitride layer had not reached a desired thickness, then the process would proceed to step 108. Were it determined that tantalum nitride layer had reached a desired thickness, then the process would proceed with further processing at step 118. An example of further processing could include formation of a copper layer 24, shown in FIG. 2, employing standard formation techniques, such as electroplating. Further processing includes a seed layer or a nucleation layer deposited via ALD, CVD or PVD techniques.

Referring to both FIGS. 2 and 7, the process for depositing the tantalum and copper layers 20 and 24 may be controlled using a computer program product that is executed by controller 54. To that end, controller 54 includes a central processing unit (CPU) 90, a volatile memory, such as a random access memory (RAM) 92 and permanent storage media, such as a floppy disk drive for use with a floppy diskette, or hard disk drive 94. The computer program code can be written in any conventional computer readable programming language; for example, 68000 assembly language, C, C++, Pascal, Fortran, and the like. Suitable program code is entered into a single file, or multiple files, using a conventional text editor and stored or embodied in a computer-readable medium, such as the hard disk drive 94. If the entered code text is in a high level language, the code is compiled and the resultant compiler code is then linked with an object code of precompiled Windows® library routines. To execute the linked and compiled object code the system user invokes the object code, causing CPU 90 to load the code in RAM 92. CPU 90 then reads and executes the code to perform the tasks identified in the program.

Referring to FIGS. 7 and 9, a method in accordance with an alternate embodiment overcomes difficulty in having vacuum pump 80 establish the processing pressure during the differing processing steps of the sequential deposition process. Specifically, it was found that relying on vacuum pump 80 to establish the processing pressure might increase the time required to form a tantalum nitride layer. This is due, in part, to the time required for vacuum pump 80 to stabilize (settle) in order to evacuate at a constant rate and thus pump down the processing chamber 68 to establish the processing pressure. To avoid the pump stabilization problem, vacuum pump 80 may be set to evacuate processing chamber 68 at a constant rate throughout the sequential deposition process. Thereafter, the processing pressure would be established by the flow rates of the process gases into process chamber 68. To that end, at step 200, substrate 10 is heated to a processing temperature within a range from about 250° C. to about 450° C. At step 202 the pump is activated to evacuate processing chamber 68 at a constant rate. At step 204, the TBTDET precursor is heated in ampoule 71a within a range from 65° C. to about 150° C. This forms a tantalum-containing gas that includes TBTDET. At step 206 a purge gas, such as argon, is flowed into processing chamber 68 for a sufficient time to purge processing chamber 68 and establish a processing pressure. The processing pressure is within a range from about 1 Torr to about 100 Torr. Although the exact time required is dependent upon the processing system employed, in the present example, the Ar is flowed into processing chamber 68 in the range from about 5 seconds to about 10 seconds.

At step 208 the tantalum-containing gas is flowed into processing chamber 68 along with Ar gas to create a tantalum-containing layer on substrate 10. The flow rates of the tantalum-containing gas and the Ar gas is established so as to prevent varying the processing pressure established at step 206. To that end, Ar gas from supply 70c is flowed into ampoule 71a at a rate of approximately 500 sccm. After about 5 seconds to about 25 seconds, the flow of tantalum-containing gas is terminated, with the flow of Ar increased to maintain the processing pressure, at step 210. This continues for a sufficient time to remove tantalum-containing gas and reaction byproducts from processing chamber 68, typically about 5 seconds to about 10 seconds. Subsequently at step 212, a nitrogen-containing gas, such as NH3 gas, is introduced into processing chamber 68, along with the purge gas for a sufficient amount of time to react nitrogen, contained in the nitrogen-containing gas, with the tantalum-containing layer to form a tantalum nitride layer. The tantalum nitride layer may or may not be a monolayer of tantalum nitride molecules. The time required to achieve the nitrogen reaction depends upon the processing system employed. In the present example, the time is in the range from about 5 seconds to about 35 seconds. The flow rate of the NH3 gas and the purge gas are established so that the processing pressure established at step 206 is maintained. The flow of the NH3 process gas into processing chamber 68 is subsequently terminated, while the flow of purge gas is increased at step 214 to maintain a constant processing pressure. In this manner, the nitrogen-containing gas and byproducts of the nitrogen reaction with the tantalum-containing layer are removed from processing chamber 68. This completes one cycle of the sequential deposition technique in accordance with the present invention.

The aforementioned cycle is repeated multiple times until barrier layer 20 reaches a desired thickness shown in FIG. 2. As a result, at step 216, shown in FIG. 9, it is determined whether the tantalum nitride barrier layer has reached a desired thickness employing any known means in the art. Were it determined that tantalum nitride layer had not reached a desired thickness, and then the process would proceed to step 208. Were it determined that tantalum nitride layer had reached a desired thickness, and then the process would proceed with further processing at step 218. Generally, the tantalum nitride barrier layer is grown to a thickness in the range from about 10 Å to about 1,000 Å. An example of further processing could include formation of a copper layer 24, shown in FIG. 2, employing standard formation techniques, such as electroplating.

Referring to FIGS. 7 and 10 in yet another embodiment of the present invention, removal of byproducts and precursors from processing chamber 68 may be achieved by evacuating processing chamber 68 of all gases present after formation of each tantalum-containing layer that is yet to under go a reaction with nitrogen. To that end, substrate 10 is heated to a processing temperature within a range from about 250° C. to about 450° C. at step 300, and the TBTDET precursor is heated in ampoule 71a within a range from about 65° C. to about 150° C. at step 302 to form a tantalum-containing gas that includes TBTDET. At step 304, vacuum pump 80 establishes a processing pressure within a range from about 1 Torr to about 100 Torr. At step 306 a purge gas, such as argon is flowed into processing chamber 68 for a sufficient amount of time to purge processing chamber 68. The time required to purge processing chamber 68 is dependent upon the processing system employed.

In the present example, the time required to purge processing chamber 68 is within a range from about 5 seconds to about 10 seconds. At step 308 the tantalum-containing gas is flowed into processing chamber 68 along with Ar gas to create a tantalum-containing layer on substrate 10. To that end, Ar gas from supply 70c is flowed into ampoule 71a at a rate of approximately 500 sccm. After a sufficient amount of time, the flow of tantalum-containing gas is terminated, while the flow of Ar continues. The amount of time during which the tantalum-containing gas flows is dependent upon the processing system employed.

In the present example the tantalum-containing gas is flowed into processing chamber 68 for approximately 5 seconds to about 25 seconds during step 310. During step 310, the flow of Ar gas into processing chamber 68 continues for a sufficient time to remove the tantalum-containing gas and reaction byproducts from processing chamber 68. The duration for which Ar gas is flowed into processing chamber 68 is dependent upon the processing system employed, but in the present example, is in the range from about 5 seconds to about 25 seconds.

Subsequently, at step 312 the flow of Ar gas is terminated and the processing chamber is evacuated of all gases present. At step 314 processing chamber 68 is brought to the processing pressure and the Ar gas is introduced therein. At step 316, the nitrogen-containing gas is introduced into processing chamber 68, along with the purge gas for a sufficient amount of time to react nitrogen in the nitrogen-containing gas with the tantalum-containing layer to form a layer of tantalum nitride. The time required to achieve the nitrogen reaction is dependent upon the processing system employed.

In the present example, the nitrogen-containing gas is flowed into processing chamber 68 in the range from 5 seconds to about 35 seconds during step 316. The flow of the tantalum-containing process gas into processing chamber 68 is subsequently terminated, while the flow of purge gas continues at step 318. In this manner, the tantalum-containing process gas and byproducts of the nitrogen reaction are removed from processing chamber 68. At step 320, the flow of Ar gas is terminated and the processing chamber is evacuated of all gases present therein at step 312. This completes one cycle of the sequential deposition technique in accordance with the present invention.

The aforementioned cycle is repeated multiple times until layer 14 reaches a desired thickness shown in FIG. 2. As a result, at step 322 it is determined whether the aforementioned tantalum nitride layer has reached a desired thickness employing any known means in the art. Were it determined that tantalum nitride layer had not reached a desired thickness, and then the process would proceed to step 304. Were it determined that tantalum nitride layer had reached a desired thickness, and then the process would proceed with further processing at step 324. An example of further processing could include formation of a copper layer 24, shown in FIG. 2, employing standard formation techniques, such as electroplating.

In some embodiments of the processes, tantalum nitride is formed with stoichiometry that includes TaNx, were x is in the range from about 0.4 to about 2. Tantalum nitride is often derived with the empirical formulas TaN, Ta3N5 Ta2N or Ta6N2.57. Tantalum nitride is deposited as amorphous or crystalline material. In some metal nitrides, slight variations of the stoichiometry can have a large impact on the electrical properties, e.g., Hf3N4 is an insulator while HfN is a conductor. Therefore, ALD provides stoichiometric control during the deposition of product compounds. The stoichiometry may be altered by various procedures following the deposition process, such as when Ta3N5 is thermally annealed to form TaN. Altering the precursor ratios during deposition also controls stoichiometry.

Many industrial applications exist for the product compounds and materials formed by the various processes of the embodiments described. Within the microelectronics industry, the product compounds may be used as seed layers, diffusion barrier layers, adhesion layers, insulator layers, conducting layers or functionalized surface groups for patterned surfaces (e.g., selective deposition).

Although the invention has been described in terms of specific embodiments, one skilled in the art will recognize that various changes to the reaction conditions, e.g., temperature, pressure, film thickness and the like can be substituted and are meant to be included herein and sequence of gases being deposited. For example, sequential deposition process may have different initial sequence. The initial sequence may include exposing the substrate to the reducing gas before the metal-containing gas is introduced into the processing chamber. In addition, the tantalum nitride layer may be employed for other features of circuits in addition to functioning as a diffusion barrier for contacts. Therefore, the scope of the invention should not be based upon the foregoing description. Rather, the scope of the invention should be determined based upon the claims recited herein, including the full scope of equivalents thereof.

While the foregoing is directed to embodiments of the present invention, other and further embodiments of the invention may be devised without departing from the basic scope thereof, and the scope thereof is determined by the claims that follow.

Claims

1. A method for forming a tantalum-containing material on a substrate comprising:

flowing a heated tantalum-containing precursor through a conduit and into a process chamber in which a substrate is placed; and
exposing the substrate to at least sequential pulses of the heated tantalum-containing precursor and a nitrogen-containing precursor during an atomic layer deposition (ALD) based process to deposit a tantalum nitride material on a surface of the substrate.

2. The method of claim 1, further comprising:

depositing a nucleation layer on the tantalum nitride material by a process selected from the group of an atomic layer based deposition technique, a physical vapor deposition based technique, a chemical vapor deposition based technique, or combinations thereof; and
depositing a bulk layer on the nucleation layer by a process selected from the group of an atomic layer based deposition technique, a physical vapor deposition based technique, a chemical vapor deposition based technique, an electroplating technique, or combinations thereof.

3. The method of claim 1, further comprising:

exposing a surface of the substrate to a pretreatment process prior to the forming the tantalum-containing material on the substrate.

4. The method of claim 3, wherein the pretreatment process comprises terminating the surface of the substrate with one or more functional groups comprise hydroxyls, alkoxys, haloxyls, halides, aminos, or amidos.

5. The method of claim 1, further comprising:

flowing a carrier gas into the process chamber during the ALD based process; and
terminating at least one of the tantalum-containing precursor and the nitrogen-containing precursor while still flowing the carrier gas.

6. The method of claim 5, wherein the tantalum-containing precursor is terminated while still flowing the carrier gas and the nitrogen-containing precursor.

7. The method of claim 5, wherein the tantalum-containing precursor and the nitrogen-containing precursor are terminated while still flowing the carrier gas for a sufficient amount of time.

8. The method of claim 7, wherein the flow of the carrier gas is increased after the tantalum-containing precursor and the nitrogen-containing precursor are terminated to maintain a constant processing pressure.

9. The method of claim 1, wherein the conduit is maintained at a temperature within a range from about 50° C. to about 450° C. during the ALD based process.

10. The method of claim 9, wherein the temperature of the conduit is maintained within a range from about 65° C. to about 275° C. during the ALD based process.

11. The method of claim 1, further comprising:

heating the substrate to a deposition temperature between about 250° C. to about 450° C.

12. The method of claim 1, wherein the ALD based process is a plasma-enhanced ALD process forming a radical nitrogen compound from the nitrogen precursor in a remote process chamber.

13. The method of claim 12, wherein the nitrogen precursor is selected from the group consisting of nitrogen, ammonia, hydrazine, azides, derivatives thereof and combinations thereof, and the radical nitrogen compound is selected from the group consisting of N3, N2, N, NH, NH2, derivatives thereof and combinations thereof.

14. A method for processing a substrate comprising:

forming a tantalum-containing material on the substrate by a process comprising: flowing a heated tantalum precursor gas through a conduit and into a process chamber; and exposing the substrate to at least sequential pulses of the tantalum precursor gas and a radical nitrogen compound during a plasma-enhanced atomic layer deposition process to deposit a tantalum nitride material on a surface of the substrate; and
depositing a nucleation layer on the tantalum nitride material by a process selected from the group of an atomic layer based deposition technique, a physical vapor deposition based technique, a chemical vapor deposition based technique, or combinations thereof; and
depositing a bulk layer on the nucleation layer by a process selected from the group of an atomic layer based deposition technique, a chemical vapor deposition based technique, an electroplating technique, or combinations thereof.

15. The method of claim 14, wherein the forming the tantalum-containing material on the substrate further comprises:

heating the substrate to a deposition temperature between about 250° C. to about 450° C.; and
heating and maintaining a temperature of the conduit containing the tantalum precursor within a range from about 50° C. to about 450° C. during the plasma-enhanced atomic layer deposition process.

16. The method of claim 14, further comprising exposing the surface of the substrate to a pretreatment process prior to the forming the tantalum-containing material on the substrate, wherein the pretreatment process comprises terminating the surface of the substrate with one or more functional groups comprise hydroxyls, alkoxy, haloxyls, halides, aminos, or amidos.

17. The method of claim 14, wherein the bulk layer comprises copper.

18. The method of claim 14, further comprising:

flowing a carrier gas into the process chamber during the plasma-enhanced atomic layer deposition process; and
terminating at least one of tantalum precursor gas and a flow of the radical nitrogen compound while still flowing the carrier gas.

19. The method of claim 18, wherein the tantalum precursor gas and the flow of the radical nitrogen compound are terminated while still flowing the carrier gas for a sufficient amount of time.

20. The method of claim 14, wherein the plasma-enhanced atomic layer deposition process comprises forming a radical nitrogen compound from the nitrogen precursor in a remote process chamber, wherein the nitrogen precursor is selected from the group consisting of nitrogen, ammonia, hydrazine, azides, derivatives thereof and combinations thereof, and the radical nitrogen compound is selected from the group consisting of N3, N2, N, NH, NH2, derivatives thereof and combinations thereof.

Patent History
Publication number: 20110070730
Type: Application
Filed: Oct 28, 2010
Publication Date: Mar 24, 2011
Inventors: Wei Cao (Milpitas, CA), Hua Chung (San Jose, CA), Vincent Ku (Palo Alto, CA), Ling Chen (Sunnyvale, CA)
Application Number: 12/914,937
Classifications
Current U.S. Class: At Least One Layer Forms A Diffusion Barrier (438/653); Barrier, Adhesion Or Liner Layer (epo) (257/E21.584)
International Classification: H01L 21/768 (20060101);