MODULAR APPARATUS FOR WAFER EDGE PROCESSING

- UVTech Systems Inc.

A modular wafer edge processing apparatus is disclosed that overcomes the limitations of conventional edge processing methods and systems used in semiconductor manufacturing. The modular apparatus can be integrated into wafer tracks, cluster tools, and other volume manufacturing systems. The edge processing apparatus of this invention includes a laser that can either be contained inside the module, or mounted externally to feed multiple modules and thereby reduce system cost. The apparatus contains a beam delivery subsystem to direct a beam of radiation onto the edges of a rotating substrate supported by a chuck. The optical system accurately and precisely directs the beam to remove or transform organic or inorganic films, film stacks, residues, or particles, in atmosphere, from the top edge, top bevel, apex, bottom bevel, and bottom edge of the substrate in a single process step. Reaction by-products are removed by means of an exhaust tube enveloping the reaction site. This invention permits precise control of an edge exclusion width, resulting in an increase in the number of usable die on a wafer. Wafer edge processing with this invention replaces existing methods that use large volumes of purified water and hazardous chemicals including solvents, acids, alkalis, and proprietary strippers.

Skip to: Description  ·  Claims  · Patent History  ·  Patent History
Description
RELATED APPLICATION(S)

This application claims the benefit of U.S. Provisional Application No. 61/419,602, filed on Dec. 3, 2010. The entire teachings of the above application are incorporated herein by reference.

TECHNICAL FIELD

This patent application generally relates to wafer processing in the manufacture of integrated circuits, and to an apparatus for removal of films from semiconductor substrates at various stages of processing. This disclosure specifically relates to a laser-based edge processing module for the removal of organic, inorganic, and other semiconductor films, residues, and particles from the edges of silicon wafers or other substrates. These materials are removed in order to reduce the number of edge-related defects that cause loss of good die in integrated circuit manufacturing.

BACKGROUND OF THE INVENTION

In the fabrication of semiconductors, a number of different types of unwanted films, particles, and residues are formed or are left on the edges of silicon wafers. The relevant edges include the top (or front), top bevel, apex (or sidewall), bottom bevel, and bottom (or back) edges of wafers (hereinafter “wafer edges”). These edges are further specified in the International Standards published by Semiconductor Equipment and Materials International (SEMI) of San Jose, Calif. and referred to as the SEMI M1-1109 and SEMI M73-0309 specification documents.

Many types of processes are used that result in such unwanted materials, including photoresist coating, plasma etching, dielectric and metal deposition, wet etching, grinding, and chemical mechanical polishing (CMP). These processes all result in various films, residues, and particles being deposited or left on the wafer edges.

The unwanted materials on wafer edges may be organic polymer films, such as photoresist edge beads, or residues, such as post-etch polymer films that remain on the edge of a wafer after a plasma etching operation. Other types of unwanted materials on wafer edges include metals, ‘black silicon’, silicon particles, and polishing residues.

During semiconductor manufacturing, wafers are transported from one tool to another in cassettes, and once loaded into a tool, are moved between process stations with robots handlers. As a consequence, films at the very edges of wafers become abraded, cracked, and broken. Cracked film fragments and particles contaminate vacuum chucks, robotic handlers, and cassettes, causing tool down time and die yield loss. Backside wafer contamination may distort or tilt the wafer during subsequent imaging, also causing yield loss.

Another source of wafer edge defects is the formation of a photoresist edge bead, caused when the wafers are spin coated prior to lithographic imaging. Photoresist spin coating is repeated many times in the fabrication of an integrated circuit chip, depending on the number of lithographic levels used to make the device. A thickened bead of photoresist is formed at the outermost top edge. Excess photoresist also migrates to the apex, beveled edges, and bottom edge of the wafer. The photoresist bead on the edge is easily cracked by robots handling the wafers, resulting in flakes of photoresist re-depositing on good die, causing yield loss. Any photoresist remaining on the wafer's bottom edge also sticks to vacuum chucks, causing leveling and distortion problems in lithography, and creating more cracked flakes of photoresist. Two methods are currently used for the removal of photoresist edge bead films. The first method is called wafer edge exposure and development (WEE), a two-step process described below in a prior art patent. The second conventional method for photoresist removal from wafer edges is solvent spraying or solvent cleaning.

Another example is residue left behind from plasma etching. These etch residues are thin, tough films such as halogenated polymers. They are deposited mainly on the wafer's bevel, apex, and bottom edges. They cannot be removed by conventional wet chemical means, and are currently removed with a grinder, in combination with a fluid to make a slurry. This is a messy and particle-generating process that leaves many defects behind. If these etch residues are not removed, they will crack, break up and leave particles on otherwise usable portions of the wafer, causing die loss.

Another example of an edge-cleaning problem is the removal of copper films from wafer edges, left over from copper metallization and etching steps. Remaining copper films on the wafer edges will cause electrical shorting and arcing during subsequent wafer processing steps. Copper films left on wafer edges must removed by a complex etching operation, for example wet etching followed by high purity water rinsing and drying steps.

Another edge cleaning problem is the treatment of ‘black silicon’ needle-like structures that are the result of a reactive ion etch (RIE) process. These structures are currently removed by a wet-etch process using hydrofluoric acid, followed by high purity water rinsing and drying steps.

Another example of an edge cleaning problem is the generation of silicon particles from edge thinning operations, commonly used in 3D interconnect devices. Grinding with abrasive wheels leaves large ridges of silicon that interfere with wafer-to-wafer bonding operations. Currently, the only method of removing these ridges is by chemical etching with hydrofluoric acid and water rinsing.

Another example of an edge-cleaning problem is defects resulting from chemical-mechanical polishing (CMP) residue. CMP slurries flow around the apex of wafers and onto the bottom edges. These residues and particles migrate onto vacuum chucks, robotic handlers, and other wafer processing equipment, again leading to die loss.

Yet another example of an edge-cleaning problem arises from the use of silicon-containing photoresist such as in tri-layer film stacks, consisting of an organic polymer layer, a carbon-based layer, and a silicon-containing layer. The silicon content can typically be up to 50% of the polymer content, and conventional removal methods, such as wafer edge exposure and development (WEE) or solvent cleaning, will not completely remove these silicon based films. Removal of silicon layers is currently performed in an etching operation with a reactive ion etcher system using fluorinated gas mixtures, followed by considerable water rinsing and drying steps.

PROBLEMS WITH THE PRIOR ART

There are many film types to be removed from wafer edges, each requiring specific cleaning methods. Wafer edge processing in semiconductor manufacturing requires many different types of chemicals and tools, and is therefore complex and costly. Each of these conventional methods is described in more detail below with reference to specific prior art.

One example of a problem with the prior art relates to a method for dispensing liquid in a solvent-based edge cleaning process, as described in U.S. Pat. No. 5,392,989 and U.S. Patent Publication No. 2010/0051073 A1. This problem relates to the inability of the liquid solvent to control the geometry of the cleaned edge. The cleaning fluid is dispensed and spreads on the wafer surface, leaving a tapered edge of photoresist that may be several millimeters wide. The width of the area on the periphery of the wafer lost due to edge cleaning is called the ‘edge exclusion’. The International Technology Roadmap for Semiconductors (ITRS) specifies the parameters for integrated circuit production into the future, and the semiconductor industry companies follow this path. The ITRS specifies a reduction of the edge exclusion from the current 3-5 mm to below 1 mm in the future. Solvent-based edge cleaning cannot currently meet this specification due to the inability to effectively control the solvents. This inability includes solvent splashing onto good die causing yield loss. Organic solvents, such as ethyl lactate or cellosolve acetate, cause environmental problems, raise safety concerns, and are costly to use. Finally, after solvent edge cleaning, a thin residue of photoresist is typically left behind, requiring further chemical processing and rinsing to remove.

Another example of a problem with the prior art relates to etching the edge of a wafer in a chamber with acids, as described in U.S. Pat. No. 7,267,726 B2 and U.S. Pat. No. 7,182,821 B2. Some of the acids used are hydrofluoric (HF), sulfuric (H2SO4), and nitric (HNO3). These chemicals are hazardous to use and require the use of complex and costly equipment, raising environmental problems and safety concerns. As with the solvent method listed above, it is difficult to effectively control the width of the edge exclusion zone. This method is specifically used to remove metal films, but due to the non-uniformity of these films at the edge of the wafer, it is difficult to control the etch depth. This method is also used for removing Teflon-like particles, but is not effective for removing photoresists.

Another example of a problem with the prior art relates to photoresist edge bead exposure and development (WEE), as described in U.S. Pat. No. 6,495,312 B1, U.S. Pat. No. 7,460,211 B2, and U.S. Patent Publication No. 2010/0285399 A1. This method requires two pieces of equipment to remove the photoresist edge bead: an exposure source, which is a high intensity lamp, and a separate wet developing system that uses an alkaline developer followed by a single or double rinse with high purity water. Since multiple pieces of equipment are used, this increases the time to complete the process, reducing throughput. The exposure step is often not adequate in removal of very thick edge beads; therefore a solvent cleaning process step is required. This method addresses photoresist edge beads, but there are many other types of more difficult films, such as post-etch polymers, that need to be removed, but cannot be addressed with WEE. Finally, since WEE only removes films from the top edge of the wafer, the apex and bottom edge must be cleaned by another method.

Another example of a problem with the prior art relates to a lithographic masking method, as described in U.S. Patent Publication No. 2007/0093067 A1 and U.S. Pat. No. 7,745,095 B2. This method is complex in that at least four individual steps are required to clean the wafer edge. Steps may include pre-cleaning, depositing and removing of organic coatings, lithographic exposure, developing, rinsing, and drying. This method requires multiple pieces of expensive equipment, consumes considerable volumes of solvent and water, and reduces throughput.

Another example of a problem with the prior art relates to a method using reactive plasma, as described in U.S. Pat. No. 7,651,585 B2 and U.S. Patent Publication No. 2010/0099265 A1. This method requires a complex plasma-etching tool using a vacuum chamber and reactive gases. The plasma is a non-uniform field of energetic ions surrounding the edge of the wafer; thus there is a gradient in the film being removed instead of a sharply defined edge. The width of the gradient adds to the edge exclusion zone, thereby reducing the usable die area. The complexity of the tool, as well as the vacuum chamber pump time, adds considerable cost and limits throughput.

Another example of a problem with the prior art relates to mechanical methods for cleaning wafer edges as described in U.S. Patent Publication No. 2010/0190416 A1 and U.S. Patent Publication No. 2009/0029629 A1. Chemical mechanical polishing (CMP) uses an abrasive polishing pad and wet chemicals to polish the wafer. The by-product of this process is a polishing slurry residue left on the bottom and edges of the wafer. The current method for removing this slurry residue is frictional surface cleaning, as described in U.S. Patent Publication No. 2009/0044831 A1. This method leads to loss of usable die due to particle generation.

Another example of a problem with the prior art relates to the use of frozen carbon dioxide particles to clean wafer edges, as described in U.S. Pat. No. 7,270,136 B2. The problems with this method are that the equipment used to perform this process is highly complex and expensive, and the impact of the frozen particles can cause damage to the substrate and to otherwise usable die.

Another example of a problem with the prior art relates to applying a flame to the edge of a wafer in the presence of reactive gasses including halogens and ozone, as described in U.S. Patent Publication No. 2008/0010845 A1. For example, a hydrogen-rich flame with oxygen and nitrogen trifluoride (NF3) produces hydrogen fluoride (HF) that etches the edge of the wafer. Nitrogen trifluoride is a highly toxic and expensive gas that is typically used to clean deposition chambers in semiconductor manufacturing. Further, this method may require pre-heating the wafer to prevent condensation of the by-products onto the wafer, causing die loss. The apparatus described is very complex since the gas plumbing and safety requirements are extreme for the types of gases proposed for this edge cleaning method. Lastly, the method cannot remove films from all wafer edges in a single step and is not effective for photoresist removal.

Another example of a problem with the prior art relates to the use of a pressurized stream of a non-solvent medium, which may be a gas, to clean wafer edges, as described in U.S. Patent Publication No. 2004/0126923 A1. An expected problem with this method would be the scattering of particles onto the usable areas of the wafer, as well as the surrounding equipment. Additionally, this patent application claims that the medium must not be a solvent for the material being removed, which severely limits use on a variety of films due to its non-reactive nature.

Finally, another example of a problem with the prior art relates to the method of using an oblique-angled nozzle containing a laser beam combined with inert gas, as described in U.S. Pat. No. 6,874,510 B2. One problem with this method is that the beam used to clean the wafer edge is inside the gas delivery nozzle, such that the gas cannot be independently directed to prevent material from falling onto the good die toward the center of the wafer. Such laser reactions create a cloud of debris. If the gas nozzle is not positioned to remove this cloud away from the center of the wafer, debris will re-deposit onto good die causing yield loss. This prior art uses a chamber, which further complicates the process of removing the debris, as it becomes confined in the chamber, and can re-deposit onto the wafer as well as build-up on the chamber walls. Another problem with this prior art method is that the gas used is inert, and therefore does not permit reaction with films on the wafer edges. Yet another problem with this prior art is that this method shows cleaning only on the top surface. However, if a source of this type were redirected to the apex, the reaction by-products would be blown onto the good die at the center of the wafer, causing significant die loss. Still another problem with this prior art is that it requires the laser beam to impinge on the wafer edge at an oblique angle, introducing several problems including beam distortion and focusing issues at the wafer plane.

Due to the limitations of current edge cleaning methods, otherwise usable space on the periphery of a wafer is consumed. As an example, with a die size of 7.5 mm×7.5 mm, increasing the usable space on a 300 mm wafer by decreasing the edge exclusion width from 5.0 mm to 0.6 mm results in a potential gain of 64 die per wafer. Therefore, considerable effort is given to keep the edge cleaning area, called the edge exclusion, to a minimum. The ITRS, specifically in the area of edge exclusion, indicates the need to move from current 3-5 mm edge exclusion down to 1 mm or less in the next two years. Conventional wet edge bead cleaning methods are unable to control edge exclusion to this level due to the natural movement of liquids on smooth surfaces, such as a highly polished silicon wafer. It is recognized by those practiced in the art that a non-liquid means of edge cleaning is needed to meet the ITRS guidelines.

Thus there is a need for an improved apparatus for cleaning the edges of substrates, such as silicon wafers, which overcomes the aforesaid limitations of the cited prior art. There is also a need for an apparatus that does not require the use of solvents, corrosive chemicals, or large volumes of highly purified water. There is also a need for an apparatus that prevents process by-products from re-depositing onto usable die. There is a further need for an improved apparatus for edge cleaning that can address a wide variety of films, eliminating the need for different systems for each film type. There is also a need for an edge cleaning apparatus that can accurately clean all wafer edges (top, top bevel, apex, bottom bevel, and bottom) in a single tool, thereby reducing the cost and complexity of the cleaning process. Finally, there is a further need for an edge cleaning apparatus that can precisely control the width of the area being cleaned on the edge of a substrate to 1 mm or less, in order to increase the die yield and meet future semiconductor manufacturing requirements.

SUMMARY

The present disclosure is directed to removal or transformation of unwanted films, particles, and residues on the edges of substrates, such as silicon wafers, by directing laser radiation, in the presence of air or other fluids, to the top edge, top bevel, apex, bottom bevel, and bottom edge (hereinafter ‘wafer edges’) portions of the substrate. By-products are removed by means of a vacuum exhaust.

More specifically, the present disclosure describes a compact modular apparatus that can be easily integrated into a larger system, such as a cluster tool or wafer track for volume manufacturing.

One object herein is to provide a module that can be integrated into a volume manufacturing system, permitting the use of this technology as an integrated subsystem of current production tools. For example, in a preferred embodiment, this modular apparatus is small enough to be integrated into a wafer track system, such as the Tokyo Electron Limited (TEL) Mark 7 that is used in volume manufacturing. In another embodiment, this modular apparatus is integrated into a cluster tool, such as the Novellus NOVA NExT.

Another object herein is to provide a modular apparatus that can process materials on all of the wafer edges in a single step. In accordance with a preferred embodiment, the modular apparatus contains a beam delivery subsystem that delivers laser radiation to all of the wafer edges. In one embodiment the beam delivery subsystem uses steering mirrors and a galvanometric scanning mirror to direct the laser beam to one or more wafer edges. In another embodiment, the beam delivery subsystem uses a fiber-optic assembly to direct the laser beam to one or more wafer edges.

Another object herein is to provide a modular apparatus that can be integrated into an edge processing system that does not require the use of solvents, corrosive chemicals, or large volumes of highly purified water, and does not harm the environment. In accordance with a preferred embodiment, the modular apparatus operates at atmospheric pressure and uses laser radiation in the presence of gases, such as air or benign gas mixtures, to remove materials from wafer edges. This modular apparatus permits the use of a dry process, eliminating the need for significant volumes of high-purity water, concentrated acids, highly alkaline solutions, proprietary strippers, or solvents.

Another object herein is to prevent process by-products from re-depositing onto usable die. In accordance with a preferred embodiment of the modular apparatus, a laser and optical beam delivery system is used in conjunction with a vacuum exhaust system to remove unwanted films, particles, and residues from wafer edges without re-depositing by-products onto otherwise usable die. A preferred embodiment uses a cylindrical exhaust tube, which envelops the substrate edge, with a longitudinal slot for the substrate and circumferential slots for the laser beam, and a vacuum exhaust source to create airflow to carry by-products and film residues away from the reaction zone, preventing any debris from landing elsewhere on the substrate.

Another object herein is to remove or transform a wide variety of materials on all of the wafer edges in a single tool with a single dry process, eliminating the need for different systems for each material type and thereby reducing the cost and complexity of current processing methods. In accordance with a preferred embodiment, a modular apparatus can effectively remove or transform multiple film types, residues, and particles including, but not limited to, photoresist edge beads, photoresist residues, silicon-based polymer films, post-etch polymer residues, multi-layer film stacks, polishing residues, particles, metal films, and dielectric films. This is achieved by the interaction of the laser radiation with the unwanted films, residues, or particles and surrounding gas on all wafer edges.

Another object is to precisely control the width of the area being processed on the edge of a substrate, in order to increase the number of usable die and meet future semiconductor manufacturing requirements. This is accomplished by the use of a high-accuracy beam delivery subsystem directing a precisely defined laser beam to all of the wafer edges.

Further objects, technologies, and areas of applicability of the apparatus will become apparent from the detailed description provided hereinafter. It should be understood that the detailed description and specific examples, while indicating the preferred embodiment of the invention, are intended for the purposes of illustration only and are not intended to limit the scope of the invention, the scope of which is only being defined by the various claims herein.

BRIEF DESCRIPTION OF THE DRAWINGS

The foregoing will be apparent from the following more particular description of example embodiments of the invention, as illustrated in the accompanying drawings in which like reference characters refer to the same parts throughout the different views. The drawings are not necessarily to scale, emphasis instead being placed upon illustrating embodiments of the present invention. The organization and manner of the structure and operation of preferred embodiments, together with the above and further objects and advantages thereof, may best be understood by reference to the following description taken in conjunction with the accompanying drawings wherein like reference numerals identify the elements in which:

FIG. 1a is an isometric view schematic drawing of a self-contained edge processing module including a laser.

FIG. 1b is an isometric view schematic drawing of an edge processing module with an input beam from an externally mounted laser.

FIG. 2 is a top view schematic drawing of a self-contained edge processing module integrated into a wafer track system.

FIG. 3 is a top view schematic drawing of two edge processing modules fed by a common laser and integrated into a wafer track system.

FIG. 4 is a top view schematic drawing of one self-contained edge processing module integrated into a cluster tool wafer processing system.

FIG. 5 is a front view schematic drawing of three edge processing modules fed by a common laser and integrated into a vertically oriented cluster tool wafer processing system.

FIG. 6a is a cross-sectional diagram showing a wafer and identifying various surfaces thereof.

FIG. 6b is a cross-sectional diagram showing a top beam as it scans the top bevel and top of the wafer.

FIG. 6c is a cross-sectional diagram showing an apex beam as it scans the top bevel, apex, and bottom bevel of the wafer.

FIG. 6d is a cross-sectional diagram showing a bottom beam as it scans the bottom bevel and bottom of the wafer.

FIG. 6e is a cross-sectional diagram showing a top bevel beam as it scans the top, top bevel and apex of the wafer.

FIG. 6f is a cross-sectional diagram showing a bottom bevel beam as it scans the bottom, bottom bevel and apex of the wafer.

FIG. 6g is a cross-sectional diagram showing a beam as it continuously scans all edges of the wafer.

FIG. 7a is a side-elevational view of a semiconductor wafer mounted on a vacuum chuck, prior to being coated with photoresist.

FIG. 7b shows the same wafer as in FIG. 7a, after being coated with photoresist.

FIG. 7c shows the same wafer as in FIG. 7b after the photoresist edge bead has been removed.

FIG. 8a is an isometric drawing showing the mirror configuration that directs the laser beam to the top surface of the rotating wafer.

FIG. 8b is an isometric drawing showing the mirror configuration that directs the laser beam to the apex of the rotating wafer.

FIG. 8c is an isometric drawing showing the mirror configuration that directs the laser beam to the bottom surface of the rotating wafer.

FIG. 8d is an isometric drawing showing the mirror configuration that directs the laser beam to a camera.

FIG. 9a defines the top, apex, bottom, and camera beam path length segments.

FIG. 9b defines the thickness of the wafer and the offset from the wafer edge of the top and bottom beams.

FIG. 10 is a top, front, and side view of the OTB (Orthogonal Tri Beam) assembly with the addition of supporting subsystems. This figure is shown with all movable mirrors in place and the laser beam taking all possible paths. This figure also shows which sets of mirrors move together on stages to fine-tune the length of each beam path.

FIG. 11a is an isometric view of the wafer and exhaust system showing the beam directed to the top of the rotating wafer.

FIG. 11b is an isometric view of the wafer and exhaust system showing the beam directed to the apex of the rotating wafer.

FIG. 11c is an isometric view of the wafer and exhaust system showing the beam directed to the bottom of the rotating wafer.

FIG. 11d is an isometric view of the wafer and exhaust system showing the exhaust tube retracted for wafer loading or unloading.

FIG. 11e is a front view showing examples of exhaust baffles.

FIG. 12 is a schematic drawing of a fiber-optic head that focuses the beam onto a wafer.

FIG. 13 is a side-view schematic drawing showing three mechanisms that move three fiber-optic heads to deliver laser beams across the top, apex, and bottom of a rotating wafer.

FIG. 14 is a side-view schematic drawing showing five mechanisms that move five fiber-optic heads to deliver laser beams across the top, top bevel, apex, bottom bevel, and bottom of a rotating wafer.

FIG. 15 is a side-view schematic showing a single fiber-optic head mounted on a track to deliver a laser beam to all edges of a rotating wafer.

FIG. 16a is an isometric view schematic drawing showing an exhaust tube used with the configurations shown in FIGS. 13, 14, and 15.

FIG. 16b is a top view schematic drawing showing an exhaust tube used with the configurations shown in FIGS. 13, 14, and 15.

FIG. 16c is an isometric view showing the exhaust system configuration with the exhaust tube retracted for wafer loading.

FIG. 16d is a top view showing the exhaust system configuration with the exhaust tube retracted for wafer loading or unloading.

FIG. 17a is a side view of an optional gas injection system of the edge processing system.

FIG. 17b is an isometric view of a second configuration of an optional gas injection system of the edge processing system.

FIG. 17c is an isometric view of a third configuration of an optional gas injection system of the edge processing system.

FIG. 18a shows an example of the usable die lost with prior art edge exclusion.

FIG. 18b shows an example of the usable die lost with the edge processing apparatus disclosed herein.

DETAILED DESCRIPTION OF THE ILLUSTRATED EMBODIMENT

A description of example embodiments of the invention follows.

While the invention as recited in the claims presented elsewhere in this document may be susceptible to embodiment in different forms, there is shown in the drawings, and will be described herein in detail, one or more specific embodiments with the understanding that the present disclosure is to be considered but one exemplification of the principles of the invention, and there is no intent to limit the invention to that as illustrated and described herein. Therefore, any references to “the present invention” and “the disclosure” throughout this document are to be interpreted only as a reference to one particular, non-limiting example embodiment of but one aspect of the many inventions described and disclosed in this document.

The disclosure describes an apparatus for removing and/or transforming unwanted films, residues, and particles from the top, top bevel, apex, bottom bevel, and bottom (hereinafter ‘wafer edges’) of semiconductor substrates.

The disclosure specifically describes a wafer processing module which is a combination of subsystems arranged in a modular configuration to be used within a larger tool. The larger tool could be a wafer track system, a cluster tool, or a standalone system. The larger system could include a single module or multiple modules fed by one or more lasers.

FIG. 1a is a self-contained wafer edge processing module 10 that includes a laser 30 that can be vertically or horizontally mounted. The laser 30 emits a beam 31 that is directed by beam-steering mirrors 32 into beam-shaping optics 33, then into beam delivery subsystem 34 through orifice 35. The beam delivery subsystem 34 contains optics to direct and focus the beam 31 to all edges of the wafer 20. Reaction by-products 45 are removed by means of an exhaust tube 40. The beam delivery subsystem 34 may also contain a gas injection system to aid in the processing. The wafer 20 is placed on top of a rotating vacuum chuck 51 that is mounted on top of a servomotor assembly 50. The wafer 20 rotates to allow the laser beam 31, which is directed to selected edges of the wafer 20 by optics located in the beam delivery subsystem 34, to process the entire circumference of the wafer 20. In another embodiment, the beam shaping optics 33 and the beam-steering mirrors 32 are replaced by a fiber-optic delivery system.

FIG. 1b is a wafer edge processing module 11 with a laser beam 31 that is emitted by an externally mounted laser. The beam 31 enters the module 11 and beam-delivery subsystem 34 through orifices 15 and 35, respectively. The beam delivery subsystem 34 contains optics to direct and focus the beam 31 to all edges of the wafer 20. Reaction by-products 45 are removed by means of an exhaust tube 40. The beam delivery subsystem 34 may also contain a gas injection system to aid in the processing. The wafer 20 is placed on top of a rotating vacuum chuck 51 that is mounted on top of a servomotor assembly 50. The wafer 20 rotates to allow the laser beam 31, which is directed to selected edges of the wafer 20 by optics located in the beam delivery subsystem 34, to process the entire circumference of the wafer 20.

FIG. 2 shows a self-contained edge processing module 10 integrated into a wafer track system 200, such as the TEL Mark 7. The track system 200 includes its own robot 70, loading FOUP (Front Opening Unified Pod) 61, unloading FOUP 62, and other track modules 201 used for other wafer processing purposes. The robot 70 moves into position at each of the modules 201 in the track system 200, including the edge processing module 10.

FIG. 3 shows multiple edge processing modules 11, fed by a single beam distribution subsystem 12, integrated into wafer track system 300. The track system 300 also includes robot 70, loading FOUP 61, unloading FOUP 62, and one or more track modules 301 used for other wafer processing purposes. The robot 70 moves into position at each of the modules 301 in the track system 300, including edge processing modules 11. The edge processing modules 11 are fed by a beam distribution subsystem 12, which includes a laser 30 that can be vertically or horizontally mounted, beam shaping optics 33, beam-steering mirrors 32, and movable mirror 36. The laser 30 emits a beam 31 that is directed into a beam-shaping optics 33 and then is directed by a movable mirror 36 to a series of beam-steering mirrors 32 before entering an edge processing module 11 through orifice 15. In order to direct the laser beam 31 to a different edge processing module 11, movable mirror 36 is positioned out of the beam path allowing the beam to continue to another series of beam-steering mirrors 32 and into another orifice 15. The movable mirrors 36 and the beam-steering mirrors 32 are positioned in such a way that the beam paths leading to all edge processing modules 11 are equal in length so that beams with identical properties enter each module. In this example the laser is shared by two edge processing modules 11; however in other embodiments beam distribution system 12 could be configured to distribute the beam to three or more modules. Because of the high cost of the laser, sharing a single laser between two or more modules greatly reduces the total system cost. In another embodiment, the beam distribution subsystem 12 is replaced by a fiber-optic delivery system.

FIG. 4 shows a self-contained edge processing module 10 integrated into a cluster tool 400, such as the Novellus NOVA NExT. The cluster tool 400 includes its own robot 70, FOUP 60, and other modules 401 used for other wafer processing purposes. The robot 70 moves into position at each of the modules 401 in the cluster tool 400, including the edge processing module 10.

FIG. 5 shows multiple edge processing modules 11, fed by a single beam distribution subsystem 12, integrated into vertically oriented cluster tool 500. The vertically oriented cluster tool 500 also includes robot 70 and may include one or more modules used for other wafer processing purposes. The robot 70 moves into position at each of the edge processing modules 11. The edge processing modules 11 are fed by a beam distribution subsystem 12, which includes a laser 30 that can be vertically or horizontally mounted, beam shaping optics 33, beam-steering mirrors 32, and movable mirrors 36 and 37. The laser 30 emits a beam 31 that is directed into a beam-shaping optics 33 and then is directed by a movable mirror 36 to a series of beam-steering mirrors 32 before entering the top edge processing module 11 through orifice 15. In order to direct the laser beam 31 to the middle edge processing module 11, movable mirror 36 is positioned out of the beam path allowing the beam to continue to a second movable mirror 37 and another series of beam-steering mirrors 32 and into a orifice 15. In order to direct the laser beam 31 to the bottom edge processing module 11, movable mirrors 36 and 37 are positioned out of the beam path allowing the beam to continue to another series of beam-steering mirrors 32 and into a orifice 15. The movable mirrors 36 and 15 and the beam-steering mirrors 32 are positioned in such a way that the beam paths leading to all edge processing modules 11 are equal in length so that beams with identical properties enter each module. In this example the laser is shared by three edge processing modules 11; however in other embodiments beam distribution system 12 could be configured to distribute the beam to four or more modules. Because of the high cost of the laser, sharing a single laser between two or more modules greatly reduces the total system cost. In another embodiment, the beam distribution subsystem 12 is replaced by a fiber-optic delivery system.

FIG. 6a identifies the edges of the wafer 20 which are referred to in the description that follows. The surfaces that are scanned are the top 21, top bevel 22, apex 23, bottom bevel 24, and bottom 25. FIG. 6b is an example showing the top beam 110 scanning the top 21 and top bevel 22 of the wafer 20, removing the photoresist 26 from the surfaces that are scanned. FIG. 6c is an example showing the apex beam 112 scanning the top bevel 22, apex 23, and bottom bevel 24 of the wafer 20, removing the photoresist 26 from the surfaces that are scanned. FIG. 6d is an example showing the bottom beam 114 scanning the bottom 25 and bottom bevel 24 of the wafer 20, removing the photoresist 26 from the surfaces that are scanned. FIG. 6e is an example showing the top apex beam 111 scanning the top 21, top bevel 22, and apex 23 of the wafer 20, removing the photoresist 26 from the surfaces that are scanned. FIG. 6f is an example showing the bottom apex beam 113 scanning the bottom 25, bottom bevel 24, and apex 23 of the wafer 20, removing the photoresist 26 from the surfaces that are scanned. FIG. 6g is an example showing the beam 115 continuously scanning all edges of the wafer 20, removing the photoresist 26 from the surfaces that are scanned. The area where the laser beam is processing the wafer is hereinafter referred to as the ‘reaction site’.

FIGS. 7a, 7b and 7c show how edge bead photoresist problems are created, and solved. FIG. 7a shows an uncoated semiconductor wafer 20 placed on vacuum chuck 51. FIG. 7b shows the same wafer coated with photoresist 26 where the excess photoresist 27 builds up on the edges of wafer 20, illustrating one edge bead problem. If this excess photoresist 27 on the edges of the wafer 20 is not removed, it may crack, shatter and leave particles on other parts of the wafer 20 and on process equipment, causing defects and die loss. FIG. 7c shows wafer 20 after the excess photoresist 27 is removed using the apparatus described herein. Other materials, such as photoresist residues, silicon-based polymer films, post-etch polymer residues, multi-layer film stacks, polishing residues, particles, metal films, and dielectric films, if not removed, may also cause defects and die loss. These materials may also be processed using this method.

In the preferred embodiment, FIGS. 8a, 8b, 8c, and 8d show the same system, each with a different beam path configuration. In all of these figures a laser beam 31 exits the laser 30, is shaped by beam shaping optics 32, and directed by steering mirrors such as 33 into the beam delivery subsystem 34. When the laser beam 31 enters the beam delivery subsystem assembly 34 through orifice 35 it reflects off a galvanometric scan mirror 120, which is used to steer the beam into a scan lens 130. In a preferred embodiment, the scan lens 130 is a telecentric f-theta lens with the properties wherein the angle of the scan mirror is proportional to the distance moved on the wafer 20, the beam exit angle is normal to the wafer 20, and the beam is focused on the plane of the wafer 20.

In FIG. 8a the mirrors are shown in a configuration that directs the scanning laser beam 110 (represented by a thick center line and two thin lines on either side indicating the scan range) to the top surface of the wafer 20, which is scanned in a radial direction as shown in FIG. 6b. In this configuration, the beam 110 exits the scan lens 130 and is directed to mirror 140, then directed to mirror 141 that directs the beam 110 onto the wafer 20. In the preferred embodiment, mirrors 140 and 141 are configured such that the scan direction is perpendicular to the plane of incidence of said mirrors in order to allow maximum scan range.

In FIG. 8b the mirrors are shown in a configuration that directs the scanning laser beam 112 (represented by a thick center line and two thin lines on either side indicating the scan range) to the apex surface of the wafer 20, which is scanned in a axial direction as shown in FIG. 6c. In this configuration, the beam 112 exits the scan lens 130 and is directed to moving mirror 150 that has been moved into the path of beam 112. Beam 112 is deflected to mirror 151, then to mirror 152, and then to the apex of the wafer 20. Mirrors 151 and 152 are positioned such that the beam path length is identical to the path length shown in FIG. 8a, ensuring that beams with identical properties are delivered to the top and apex edges of the wafer 20.

In FIG. 8c the mirrors are shown in a configuration that directs the scanning laser beam 114 (represented by a thick center line and two thin lines on either side indicating the scan range) to the bottom surface of the wafer 20, which is scanned in a radial direction as shown in FIG. 6d. In this configuration, the beam 114 exits the scan lens 130 and is directed to moving mirror 160 that has been moved into the path of beam 114. Beam 114 is deflected to mirror 161, then to mirror 162, then to mirror 163, and then to the bottom of the wafer 20. Mirrors 161 and 162 are positioned such that the beam path length is identical to the path length shown in FIGS. 8a and 8b, ensuring that beams with identical properties are delivered to the top, apex, and bottom edges of the wafer 20. In the preferred embodiment, mirrors 160, 161, 162, and 163 are configured such that the scan direction is perpendicular to the plane of incidence of said mirrors in order to allow maximum scan range.

In FIG. 8d the mirrors are shown in a configuration that directs the laser beam 116 to the camera 180. In this configuration, the beam 116 exits the scan lens 130 and is directed to moving mirror 170 that has been moved into the path of beam 116. Beam 116 is deflected to mirror 171, then to mirror 172, and then to the camera 180. The camera 180 is positioned such that the beam path length is identical to the path length shown in FIGS. 8a, 8b and 8c, ensuring that the beam delivered to camera 180 has properties identical to the beams delivered to the top, apex, and bottom edges of the wafer 20.

In a preferred embodiment, all moving mirrors 150, 160, and 170 move in a direction parallel to the plane of each mirror. This ensures that a small error in the placement of each moving mirror will not result in a beam placement error on the wafer 20.

FIG. 9a shows the relationships among the path lengths for a preferred embodiment, which are calculated as follows:

The total path length of the optical system from the exit (top) of the scan lens 130 to the wafer 20 is defined as P. This path length must be equal to the working distance of the scan lens 130. Referring to FIG. 9a:

The distance from the exit of scan lens 130 to mirror 140 is defined as T1.

The distance from mirror 140 to mirror 141 is defined as T2.

The distance from mirror 141 to the top of wafer 20 is defined as T3.

The distance from the exit of scan lens 130 to mirror 150 is defined as A1.

The distance from mirror 150 to mirror 151 is defined as A2.

The distance from mirror 151 to mirror 152 is defined as A3.

The distance from mirror 152 to the apex of wafer 20 is defined as A4.

The distance from the exit of scan lens 130 to mirror 160 is defined as B1.

The distance from mirror 160 to mirror 161 is defined as B2.

The distance from mirror 161 to mirror 162 is defined as B3.

The distance from mirror 162 to mirror 163 is defined as B4.

The distance from mirror 163 to the bottom of wafer 20 is defined as B5.

The distance from the exit of scan lens 130 to mirror 170 is defined as C1.

The distance from mirror 170 to mirror 171 is defined as C2.

The distance from mirror 171 to camera 180 is defined as C3.

FIG. 9b shows a cross-section of the wafer 20 and the top laser beam 110, apex laser beam 112, and bottom laser beam 114 as they impinge upon the wafer 20. The thickness of the wafer 20 is defined as W.

In order to process the widest possible annulus on the wafer 20, when the laser beam exits the scan lens 130 on its optical axis, the position of the top laser beam 110 and the bottom laser beam 114 on the wafer 20 should be located slightly less than half of the total possible scan length, which is limited by the diameter of all system mirrors, from the edge of the wafer. Referring to FIG. 9b, this distance is defined as D. In a preferred embodiment, the distance defined as D is identical for the top beam 110 and the bottom beam 114.

With independent variables D, W, P, T1, T2, B1, B3, C1, and C2, the following relationships ensure that the top, apex, bottom, and camera optical paths are all equal to the total optical path length P and that all mirror angles of incidence are 45° when the laser beam exits the scan lens 130 on its optical axis:


T3=P−T1−T2


A1=−P+2T1+T2−W/2


A2=P−T1−T2+W/4+D/2


A3=T2


A4=P−T1−T2+W/4−D/2


B2=P−T1−T2+W/2


B4=P−T1+W/2


B5=−P+2T1+T2−W−B1


C3=P−C1−C2

Due to machine tolerances and other possible slight errors in the positions of the system mirrors, the beam paths in the system may not be exactly the same length when the system is assembled. Therefore, in the preferred embodiment of the present invention each possible beam path has an adjustment that fine-tunes that path length without affecting beam position on the wafer 20.

FIG. 10 shows top, front, and side views of the preferred embodiment of the beam delivery subsystem 34, the wafer 20 mounted on a vacuum chuck 51 that is rotated by servomotor assembly 50, and an exhaust tube 40 used to remove reaction byproducts 45. In the front view, mirrors 140 and 141 are shown on moving stage 145 that adjusts the total length of the top beam path. In the top view, mirrors 151 and 152 are shown on moving stage 155 that adjusts the total length of the apex beam path. In the front view, mirrors 161 and 162 are shown on moving stage 165 that adjusts the total length of the bottom beam path. As each stage with two mirrors is moved, the length of the affected beam path is adjusted by twice the distance that the stage is moved without moving the beam position on wafer 20. In the side view, camera 180 is shown as being vertically adjustable to adjust the path length to the camera 180.

In a preferred embodiment, an exhaust system as shown in FIGS. 11a to 11d carries reaction by-products away from the reaction site at the wafer 20. The servomotor assembly 50 rotates wafer 20 through a slot 41 in the side of exhaust tube 40. An external exhaust source draws ambient gas through the intake end 46 of the exhaust tube 40, thus carrying away reaction by-products 45. The beam reaches the wafer through the exhaust tube by means of slots 42 and 44 and hole 43. If the slots 42 and 44 were opposite each other on the exhaust tube 40, then as the beam was scanned off the edge of the wafer 20, the beam would exit the exhaust tube 40 through the opposite slot, causing damage inside the beam delivery subsystem 34. In a preferred embodiment the slots 42 and 44 are offset from each other so that the beam is blocked by the opposite side of the exhaust tube 40, which is lined with a material, such as textured synthetic fused silica, which is impervious to the laser beam.

In FIG. 11a the exhaust tube 40 moves into position so that slot 42 is aligned with the top laser beam 110, which is directed through the slot 42 to the top of wafer 20. In FIG. 11b the exhaust tube 40 moves into position so that hole 43 is aligned with the apex laser beam 112, which is directed through the hole 43 to the apex of wafer 20. In FIG. 11e the exhaust tube 40 moves into position so that slot 44 is aligned with the bottom laser beam 114, which is directed through the slot 44 to the bottom of wafer 20. In FIG. 11d the exhaust tube 40 is moved away from the wafer 20 so that robot 70, shown in FIGS. 2, 3, 4, and 5, can load or unload the wafer to or from the vacuum chuck 51, shown in FIGS. 1a and 1b.

FIG. 11e shows optional baffle plates 47, any one of which may be affixed to the intake end 46 of the exhaust tube 40 to control the direction and volume of gas flow. The ability to increase or decrease the direction and volume of gas may be desirable in certain applications. The more the flow is blocked with a baffle 47, the more the flow direction is changed from tangential to radial with respect to the wafer 20. In a preferred embodiment, the exhaust flow rate without a baffle is 120 liters per second with a velocity of 60 meters per second.

Another approach to directing a laser beam to two or more edges of a wafer employs a fiber-optic beam delivery system. FIG. 12 shows a fiber-optic head 124 that focuses a laser beam 110 from an incoming fiber 123 onto the edge of wafer 20. It includes an output coupler 127 at the end of the fiber 123 and a focusing lens 128, which contains one or more elements, mounted between the output coupler 127 and the edge of wafer 20.

The embodiment shown in FIG. 13 utilizes a laser source 30 that emits a beam 31, which enters input coupler 120 of a fiber-optic cable 121. The fiber-optic cable 121 enters a fiber-optic beam delivery subsystem 34. The fiber-optic beam delivery subsystem 34 contains a distribution module 122. In one embodiment the distribution module 122 splits the beam 31 into three separate beams, which pass through three fiber-optic cables 123 and three fiber-optic heads 124, mounted to three positioning devices 125. In another embodiment the distribution module 122 switches the beam between three separate fiber-optic cables 123 and fiber-optic heads 124, mounted to three positioning devices 125. The positioning devices 125 accurately locate the fiber-optic heads 124, scanning the beams 110, 112, and 114 across all edges of wafer 20. The wafer 20 is placed on a chuck 51, rotated by a servomotor assembly 50. Reaction by-products are removed by means of an exhaust tube 40.

The embodiment shown in FIG. 14 utilizes a laser source 30 that emits a beam 31, which enters input coupler 120 of a fiber-optic cable 121. The fiber-optic cable 121 enters a fiber-optic beam delivery subsystem 34. The fiber-optic beam delivery subsystem 34 contains a distribution module 122. In one embodiment the distribution module 122 splits the beam 31 into five separate beams, which pass through five fiber-optic cables 123 and five fiber-optic heads 124, mounted to five positioning devices 125. In another embodiment the distribution module 122 switches the beam between five separate fiber-optic cables 123 and five fiber-optic heads 124, mounted to five positioning devices 125. The positioning devices 125 accurately locate the fiber-optic heads 124, scanning the beams 110, 111, 112, 113, and 114 across all edges of wafer 20. The wafer 20 is placed on a chuck 51, rotated by a servomotor assembly 50. Reaction by-products are removed by means of an exhaust tube 40.

The embodiment shown in FIG. 15 utilizes a laser source 30 that emits a beam 31, which enters input coupler 120 of a fiber-optic cable 123. The fiber-optic cable 123 enters a beam delivery subsystem 34 where it attaches to a fiber-optic head 124. The fiber-optic head 124 slides along a track 126. In order to provide the same beam profile at all points around the edge of wafer 20, the track 126 is shaped in such a way as to position the fiber-optic head 124 exactly the same distance from, and orthogonal to the edge of wafer 20 as it travels around track 126, scanning beam 115 around the edge of wafer 20. The wafer 20 is placed on a chuck 51 and is rotated by a servomotor assembly 50. Reaction by-products are removed by means of an exhaust tube 40.

An exhaust system shown in FIGS. 16a and 16b carries reaction by-products 45 away from the reaction site at the wafer 20. The servomotor assembly 50 rotates wafer 20 through a slot 41 in the side of exhaust tube 40. Laser beams 110, 111, 112, 113, and 114 are directed through slot 49 to all edges of wafer 20. An external exhaust source, draws ambient gas through the intake end 46 of the exhaust tube 40, thus carrying away reaction by-products 45. In another embodiment, reversing the rotation to oppose the direction of the exhaust flow may increase the relative flow velocity at the wafer surface thereby improving the performance of the exhaust system.

FIGS. 16c and 16d show the same exhaust system as shown in FIGS. 16a and 16b, with the exhaust tube 40 in a retracted position to allow a robot to load or unload the wafer 20.

The optional gas injection systems shown in FIGS. 17a, 17b, and 17c may enhance the reaction between the laser beam and the material being processed. Gases are fed from an external source into the system. Such injected gas may be beneficial in processing the unwanted films, residues, or particles. In a preferred embodiment, the injected gas is an oxidizing gas. Reducing gases such as hydrogen are also effective in removing photoresist. In another embodiment, the injection of hydrogen may also be used to prevent the formation of an oxide layer. The gas injection system may also enhance the removal of unwanted by-products. In one embodiment, shown in FIG. 17a, the gas streams 85 and/or 86 are produced by a top injector 80 and/or a bottom injector 81 that are fed by gas lines 89. To optimize the reaction, the angle of the injectors 80 and/or 81 can be adjusted with respect to the surface of wafer 20, which is mounted on vacuum chuck 51 and is rotated by servomotor assembly 50. The laser beams 110, 112, and 114 process the edges of wafer 20 while gas streams 85 and/or 86 are flowing.

In another embodiment of an optional gas injection system, shown in FIG. 17b, the gas stream 87 is produced by an axial injector 82 that is fed by a gas line 89 into the intake end 46 of the exhaust tube 40. This configuration adds gas to the environment surrounding the reaction site. The laser beams 110, 112, and 114 process the edges of wafer 20 while gas stream 87 is flowing.

In a third embodiment, shown in FIG. 17c, the gas stream 88 is produced by an injector 83, attached to an orifice in the side of the exhaust tube 40, and fed by gas line 89 such that the gas flow is aimed at the reaction site on the wafer 20. The laser beams 110, 112, and 114 process the edges of wafer 20 while gas stream 88 is flowing.

Other configurations combining the above injection methods and/or exhaust baffles may be used to enhance the reaction.

The preferred embodiment is an all-dry process with no waste-treatable by-products. Edge processing or edge film removal from the top bevel, apex, bottom bevel, and bottom edges of the wafer can also be accomplished during the same process cycle. This apparatus is capable of processing 0 to 25 mm from the bottom edge, to an accuracy of +/−0.1 mm, in order to remove the photoresist that has migrated to the bottom side of the wafer. Likewise, the apparatus is capable of processing 0 to 25 mm from the top edge, to an accuracy of +/−0.1 mm. Cleaning the apex is also important since this edge of the wafer contacts the sides of wafer cassettes and robotic handlers used during transport in a manufacturing line. Any breaks or cracks in an un-removed edge bead can then create particles that may get transferred to other cassettes and other wafers, causing defects and loss of useable die.

Current solvent dispense methods that are used to remove the photoresist edge bead are difficult to control. Using the system described herein, the edge exclusion width can be reduced from the current 3-5 mm to less than 1 mm. FIG. 18a shows an example of a wafer 20 with a 5.0 mm edge exclusion width. Any die that are intersected by the edge exclusion region are lost. In this example there are eighty lost die 28. Using an embodiment described herein, the edge exclusion width can be reduced to 0.6 mm, limited only by the accuracy of the beam placement, which is better than 0.1 mm. This results in only sixteen lost die 29, as shown in FIG. 18b.

In preferred embodiments the laser is a Nd:YAG laser and is configured to emit pulsed radiation at a wavelength of 355 nm, with a range of pulse repetition rates from 10,000 Hz to 100,000 Hz, which result in variable power levels and pulse energies. Other YAG lasers can be configured to emit radiation at other repetition rates in a range from 1,000 Hz to 500,000 Hz, and other wavelengths such as 213 nm, 266 nm, 532 nm, and 1064 nm. These characteristics may be beneficial to provide higher energy absorption by the material being processed, which leads to more complete reactions, higher throughput, and may enable processes that are ineffective at 355 nm. Other wavelengths, such as 193 nm, 248 nm, or 308 nm produced by an excimer laser, may be useful for certain applications. Still other applications may benefit from the use of a continuous wave (CW) laser such as a 364 nm argon ion laser.

Beam shaping optics may be used to alter the profile of the laser beam. In one embodiment, diffractive beam-shaping optics are used to produce a beam that has a top-hat profile in the scan direction but a Gaussian profile in the perpendicular direction. In another embodiment, diffractive beam-shaping optics are used to produce a rectangular beam that has a top-hat profile in both directions. In yet another embodiment, a homogenizer is used to create a uniform beam profile. By thus flattening the beam profile, wider process latitude, selective material removal, and sharper edge definition can be achieved.

One application of the systems and methods described herein is to eliminate problems that result after a sub-micron layer of photoresist is spin-coated onto a silicon wafer and baked. This process causes a thickened bead of photoresist at the wafer edge. To prevent peeling and de-lamination by the immersion fluid used in an imaging tool, such as the ASML 193 nm laser-based step-and-scan system, the resulting bead of photoresist on the edge of the wafer must be removed prior to the lithographic step. The present invention provides an apparatus for removing this edge bead. If the photoresist bead is not removed, the fluid flows over the wafer during exposure and undercuts the photoresist layer, causing it to lose adhesion, lift at the edges, break off, and re-deposit onto the wafer. This results in the loss of otherwise useable die. In addition, if this bead is not removed, it may stick to robotic handlers and transfer photoresist flakes to other tools and other wafers, causing tool downtime and die loss.

In an example of another application of photoresist edge-bead removal using the techniques herein, very thick coatings of 2.5 microns, for example, can be removed without leaving any detectable residue. In this application, a 0.6 mm wide edge bead from the top of the wafer was removed in less than 5 seconds of process time with a laser beam shaped into an elongated ellipse with an aspect ratio of greater than 10:1 and the long axis in the circumferential direction. This permits the laser beam to make a sharp slice into the thick photoresist coating, leaving behind a narrow transition between cleaned and uncleaned photoresist. The characteristics of this transition can be varied by changing the shape and edge profile of the incident laser beam. This photoresist edge bead removal application was carried out on a 200 mm wafer in open atmosphere with 355 nm radiation from the 3rd harmonic of a 12 W Nd:YAG (neodymium-doped yttrium aluminum garnet) laser pulsed at 17 kHz, a 0.1×1.5 mm Gaussian beam with 50% pulse-to-pulse overlap, a peak energy density of 350 mJ/cm2, and a wafer spin rate of 1270 rpm.

In an example of another application, a tri-layer film is removed. This is a film stack that can be designed to minimize the reflections occurring during lithography, thereby permitting higher imaging resolution to produce advanced semiconductor devices. One example is a tri-layer film, successfully removed by the present invention, consists of an organic bottom anti-reflection coating (BARC), a middle silicon ARC layer, and a top layer of photoresist. This tri-layer film stack removal application was carried out on a 300 mm wafer in open atmosphere with 355 nm radiation from the 3rd harmonic of a 12 W Nd:YAG laser pulsed at 15 kHz, a 0.1×1.5 mm Gaussian beam with 50% pulse-to-pulse overlap, a peak energy density of 400 mJ/cm2, and a wafer spin rate of 728 rpm. This process removes 1 mm of width in 8 seconds.

The laser edge-bead removal apparatus described herein permits a much better defined and sharper transition zone between removed and unremoved films than can be achieved with prior art using chemicals, plasma, or mechanical methods. More importantly, the ability to create a more sharply defined sidewall in the post-cleaned film provides more silicon area for useable die and can therefore increase die yield on each wafer, which can result in major revenue increases for semiconductor manufacturers.

In another application, a residue of polishing compound slurry is thermally encapsulated. Such residue is the result of a process called chemical mechanical polishing (CMP), used in multiple steps in the fabrication of semiconductor devices. CMP residue consists of unwanted granular particulates from polishing compounds, typically containing cerium oxide, which is harder than silicon. In the prior art, such residue is etched off using a four-step process, using first a mixture of ammonium hydroxide and hydrogen peroxide (SC1), then a mixture of sulfuric acid and hydrogen peroxide (SC2), followed by a de-ionized water rinse and a drying cycle. These mixtures are heated and are highly corrosive, so that special facilities are required, along with protective equipment for operators and special provisions for hazardous waste disposal.

In accordance with the embodiments described herein, one example of a process for removing this polishing residue uses a 3rd harmonic YAG laser delivering an energy density of 1000 to 2400 mJ/cm2 to thermally encapsulate the slurry residue on the wafer edge by melting and re-flowing the silicon surface. This process typically takes 10 seconds to encapsulate 1 mm of the bottom edge of a 300 mm wafer. No corrosive chemicals, water, or drying cycles are required, and there is no hazardous waste as with the prior art methods cited above. In another example the beam is used at a lower energy density to permit the melting of only the areas of the wafer containing the residue while not melting the surrounding silicon surface.

In an example of another application, a post-etch polymer (PEP) residue is removed from the wafer edges. In this example, a reactive ion dry etching step leaves a thin PEP residue as a by-product of the etching process. This residue is extremely difficult to remove due to its chemical nature, being a Teflon-like chlorofluoropolymer. When these residues are present on the bottom side of the wafer, they cause warping of the wafer on a vacuum chuck resulting in image distortion during lithography. In an embodiment, a 1 mm annulus of these residues can be removed in 10 seconds on a 300 mm wafer using a 12 W, 3rd harmonic Nd:YAG laser with an energy density of 500 to 1200 mJ/cm2. The process uses only air as the reactive gas mixture, operates at atmospheric pressure, and does not cause any heat build-up. Further, there is no detectable debris or by-products based on high magnification (150,000×) SEM analysis.

In an example of another application, a copper film is removed from the edge of a 300 mm silicon wafer. This application took 14 seconds per mm of copper removed, and was carried out in open atmosphere with 355 nm radiation from a 12 W, 3rd harmonic Nd:YAG laser pulsed at 22 kHz, a 0.25×0.17 mm Gaussian beam with 75% pulse-to-pulse overlap, a peak energy density of 1000 mJ/cm2, and a wafer spin rate of 60 rpm.

In another application, organic and inorganic particles that are generated during semiconductor processing are removed. Particles from the robotic end effectors, wafer cassettes, ion implantation, or other sources during processing may end up on the wafer edge and need to be removed.

For a wide range of applications, laser energy densities used range from 150 to 2500 mJ/cm2. Although 355 nm radiation, from the 3rd harmonic of a Nd:YAG laser, with a pulse width of 30 to 60 ns, is typically used, other wavelengths and other lasers can also be employed. One example is 532 nm radiation from the 2nd harmonic of a Nd:YAG laser, with 33% lower cost per watt. Alternatively, shorter wavelengths such as 266 nm radiation from the 4th harmonic of a Nd:YAG laser, or 193 nm radiation from an ArF excimer laser can be used to remove more difficult materials such as metals and oxides. Other examples of lasers that can be employed in the present invention include a continuous-wave (CW) argon-ion laser, a pulsed KrF excimer laser, and a 1 to 6 ns pulse-width Yb:YAG laser (ytterbium-doped yttrium aluminum garnet). For a given energy density, a shorter pulse width will result in a higher local, instantaneous substrate temperature. Since this higher temperature increases reactivity, it is advantageous in some applications to use a very short pulse-width laser. In light of the above disclosure, it will now be evident to those skilled in the art that a very wide variety of pulsed and CW, gas and solid-state lasers may be used.

The teachings of all patents, published applications and references cited herein are incorporated by reference in their entirety.

While this invention has been particularly shown and described with references to example embodiments thereof, it will be understood by those skilled in the art that various changes in form and details may be made therein without departing from the scope of the invention encompassed by the appended claims. While the preferred embodiment(s) of the present invention(s) are now shown and described, it is envisioned that those skilled in the art may devise various modifications without departing from the spirit and scope of the appended claims, and it is therefore only the claims that define the legal scope of this invention.

Claims

1. A modular apparatus for removing or transforming films, particles, and/or residues on the edges of a substrate comprising:

a laser radiation source for producing a laser beam;
a beam delivery subsystem for directing the laser beam to two or more edges of a substrate;
a mechanism for moving the laser beam and the substrate relative to one another; and
an exhaust assembly for removing by-products; and
further wherein the laser radiation source, the beam delivery subsystem, the mechanism for moving, and the exhaust are packaged in a module.

2. The modular apparatus of claim 1 wherein the laser beam has a wavelength between 190 nm and 770 nm.

3. The modular apparatus of claim 1 wherein the beam delivery subsystem directs the laser beam to at least two of a top, top bevel, apex, bottom bevel, and bottom edge of the substrate.

4. The modular apparatus of claim 3 wherein the beam delivery subsystem directs laser radiation by means of a mirror assembly.

5. The modular apparatus of claim 3 wherein the beam delivery subsystem directs laser radiation by means of a fiber-optic assembly.

6. The modular apparatus of claim 1 wherein said substrate is held on a rotating chuck.

7. The modular apparatus of claim 1 wherein said beam delivery subsystem includes an assembly that provides a flow of a fluid to the edges being exposed to the laser radiation.

8. The modular apparatus of claim 1 wherein the apparatus is part of a stand-alone wafer edge processing system.

9. The modular apparatus of claim 1 wherein the apparatus is integrated into a wafer track system.

10. The modular apparatus of claim 1 wherein the apparatus is integrated into a cluster tool system.

11. A modular apparatus for processing a substrate comprising:

a beam delivery subsystem for receiving an externally-supplied laser beam and delivering the laser beam to two or more edges of a substrate;
a mechanism for moving the laser beam and the substrate relative to one another; and
an exhaust assembly for removing by-products.

12. The modular apparatus of claim 11 wherein the beam delivery subsystem directs the laser beam to a top, top bevel, apex, bottom bevel, and bottom edge of the substrate.

13. The modular apparatus of claim 12 wherein the beam delivery subsystem further comprises a mirror.

14. The modular apparatus of claim 12 wherein the beam delivery subsystem further comprises a fiber-optic assembly.

15. The modular apparatus of claim 11 wherein said substrate is held on a rotating chuck.

16. The modular apparatus of claim 11 wherein said beam delivery subsystem further comprises an assembly that provides a flow of a fluid to the edges being exposed to the laser radiation.

17. The modular apparatus of claim 11 wherein two or more of said modular apparatus are fed by a single laser.

18. The modular apparatus of claim 17 wherein an optical path length from the laser to each of said two or more modules are equal.

19. The modular apparatus of claim 17 wherein the apparatus is integrated into a wafer track system.

20. The modular apparatus of claim 17 wherein the apparatus is integrated into a cluster tool system.

Patent History
Publication number: 20110147350
Type: Application
Filed: Feb 24, 2011
Publication Date: Jun 23, 2011
Applicant: UVTech Systems Inc. (Sudbury, MA)
Inventors: Ronald P. Millman, JR. (Taunton, MA), Kenneth J. Harte (Carlisle, MA), Victoria M. Chaplick (Charlton, MA), David J. Elliott (Carlisle, MA), Eugene O. Degenkolb (Newton, MA)
Application Number: 13/034,352
Classifications
Current U.S. Class: Cutting (219/121.67)
International Classification: B23K 26/38 (20060101);