MULTIPLE PRECURSOR CONCENTRIC DELIVERY SHOWERHEAD

- APPLIED MATERIALS, INC.

A method and apparatus that may be utilized for chemical vapor deposition and/or hydride vapor phase epitaxial (HVPE) deposition are provided. In one embodiment, the apparatus provides a processing chamber that includes a showerhead with separate inlets and channels for delivering separate processing gases into a processing volume of the chamber without mixing the gases prior to entering the processing volume. In one embodiment, a plurality of concentric tube assemblies are disposed within the showerhead to separately deliver a first gas from a first gas channel and a second gas from a second gas channel into the processing volume of the chamber. In one embodiment, the showerhead further includes a heat exchanging channel through which the plurality of concentric tube assemblies is disposed.

Skip to: Description  ·  Claims  · Patent History  ·  Patent History
Description
CROSS-REFERENCE TO RELATED APPLICATIONS

This application claims benefit of U.S. Provisional Patent Application Ser. No. 61/324,271 (APPM/015324L), filed Apr. 14, 2010, which is herein incorporated by reference.

BACKGROUND OF THE INVENTION

1. Field of the Invention

Embodiments of the present invention generally relate to methods and apparatus for chemical vapor deposition (CVD) on a substrate, and, in particular, to a showerhead design for use in metal organic chemical vapor deposition (MOCVD) and/or hydride vapor phase epitaxy (HVPE).

2. Description of the Related Art

Group III-V films are finding greater importance in the development and fabrication of a variety of semiconductor devices, such as short wavelength light emitting diodes (LEDs), laser diodes (LDs), and electronic devices including high power, high frequency, high temperature transistors and integrated circuits. For example, short wavelength (e.g., blue/green to ultraviolet) LEDs are fabricated using the Group III-nitride semiconducting material gallium nitride (GaN). It has been observed that short wavelength LEDs fabricated using GaN can provide significantly greater efficiencies and longer operating lifetimes than short wavelength LEDs fabricated using non-nitride semiconducting materials, such as Group II-VI materials.

One method that has been used for depositing Group III-nitrides, such as GaN, is metal organic chemical vapor deposition (MOCVD). This chemical vapor deposition method is generally performed in a reactor having a temperature controlled environment to assure the stability of a first precursor gas which contains at least one element from Group III, such as gallium (Ga). A second precursor gas, such as ammonia (NH3), provides the nitrogen needed to form a Group III-nitride. The two precursor gases are injected into a processing zone within the reactor where they mix and move towards a heated substrate in the processing zone. A carrier gas may be used to assist in the transport of the precursor gases towards the substrate. The precursors react at the surface of the heated substrate to form a Group III-nitride layer, such as GaN, on the substrate surface. The quality of the film depends in part upon deposition uniformity which, in turn, depends upon uniform mixing of the precursors across the substrate.

Multiple substrates may be arranged on a substrate carrier and each substrate may have a diameter ranging from 50 mm to 100 mm or larger. The uniform mixing of precursors over larger substrates and/or more substrates and larger deposition areas is desirable in order to increase yield and throughput. These factors are important since they directly affect the cost to produce an electronic device and, thus, a device manufacturer's competitiveness in the marketplace.

Interaction of the precursor gases with the hot hardware components, which are often found in the processing zone of an LED or LD forming reactor, generally causes the precursor to break-down and deposit on these hot surfaces. Typically, the hot reactor surfaces are formed by radiation from the heat sources used to heat the substrates. The deposition of the precursor materials on the hot surfaces can be especially problematic when it occurs in or on the precursor distribution components, such as the showerhead. Deposition on the precursor distribution components affects the flow distribution uniformity over time. Therefore, there is a need for a gas distribution apparatus that prevents or reduces the likelihood that the MOCVD precursors, or HVPE precursors, are heated to a temperature that causes them to break down and affect the performance of the gas distribution device.

Also, as the demand for LEDs, LDs, transistors, and integrated circuits increases, the efficiency of depositing high quality Group-III nitride films takes on greater importance. Therefore, there is a need for an improved deposition apparatus and process that can provide consistent film quality over larger substrates and larger deposition areas.

SUMMARY OF THE INVENTION

The present invention generally provides improved methods and apparatus for depositing Group III-nitride films using MOCVD and/or HVPE processes.

One embodiment of the present invention provides a showerhead apparatus comprising a first gas channel coupled to a first gas inlet, a second gas channel coupled to a second gas inlet, a plurality of first gas conduits fluidly coupling the first gas channel to an exit surface of the showerhead apparatus, and a plurality of second gas conduits fluidly coupling the second gas channel to the exit surface of the showerhead apparatus. The first gas channel is isolated from the second gas channel, and at least one of the first gas conduits is disposed within at least one of the second gas conduits.

Another embodiment provides a substrate processing apparatus comprising a chamber body, a substrate support, and a showerhead apparatus, wherein a processing volume is defined by the chamber body, the substrate support, and the showerhead apparatus. The showerhead apparatus comprises a first gas channel coupled to a first gas inlet, a second gas channel coupled to a second gas inlet, a plurality of first gas conduits fluidly coupling the first gas channel to the processing volume, and a plurality of second gas conduits fluidly coupling the second gas channel to the processing volume. The first gas channel is isolated from the second gas channel, and at least one of the first gas conduits is concentrically disposed within at least one of the second gas conduits.

Yet another embodiment of the present invention provides a method of processing substrates comprising introducing a first gas into a processing volume of a processing chamber through a first gas inlet coupled to a first gas channel of a showerhead assembly and introducing a second gas into the processing volume of the processing chamber through a second gas inlet coupled to a second gas channel of the showerhead assembly. The first gas channel is isolated from the second gas channel, and the first gas is delivered into the processing volume through a plurality of first gas conduits and the second gas is delivered into the processing volume through a plurality of second gas conduits. At least one of the first gas conduits is concentrically disposed within at least one of the second gas conduits.

BRIEF DESCRIPTION OF THE DRAWINGS

So that the manner in which the above recited features of the present invention can be understood in detail, a more particular description of the invention, briefly summarized above, may be had by reference to embodiments, some of which are illustrated in the appended drawings. It is to be noted, however, that the appended drawings illustrate only typical embodiments of this invention and are therefore not to be considered limiting of its scope, for the invention may admit to other equally effective embodiments.

FIG. 1 is a schematic plan view illustrating one embodiment of a processing system for fabricating compound nitride semiconductor devices according to embodiments described herein.

FIG. 2 is a schematic cross-sectional view of a metal-organic chemical vapor deposition (MOCVD) chamber for fabricating compound nitride semiconductor devices according to one embodiment of the present invention.

FIG. 3 is an enlarged view of detail A shown in FIG. 2.

FIG. 4A is a partial, schematic, bottom view of a multiple precursor showerhead showing a classic, one-to-one, square pattern of gas passages.

FIG. 4B is a partial, schematic, bottom view of the showerhead from FIG. 2 and according to one embodiment of the present invention.

To facilitate understanding, identical reference numerals have been used, where possible, to designate identical elements that are common to the figures. It is contemplated that elements and features of one embodiment may be beneficially incorporated in other embodiments without further recitation.

DETAILED DESCRIPTION

Embodiments of the present invention generally provide a method and apparatus that may be utilized for deposition of Group III-nitride films using MOCVD and/or HVPE hardware. In one embodiment, the apparatus a processing chamber that includes a showerhead with separate inlets and channels for delivering separate processing gases into a processing volume of the chamber without mixing the gases prior to entering the processing volume. In one embodiment, a plurality of concentric tube assemblies are disposed within the showerhead to separately deliver a first gas from a first gas channel and a second gas from a second gas channel into the processing volume of the chamber. In one embodiment, the showerhead further includes a cooling channel through which the plurality of concentric tube assemblies is disposed.

FIG. 1 is a schematic plan view illustrating one embodiment of a processing system 100 that comprises the one or more MOCVD chambers 102 for fabricating compound nitride semiconductor devices according to embodiments described herein. In one embodiment, the processing system 100 is closed to atmosphere. The processing system 100 comprises a transfer chamber 106, a MOCVD chamber 102 coupled with the transfer chamber 106, a loadlock chamber 108 coupled with the transfer chamber 106, a batch loadlock chamber 109, for storing substrates, coupled with the transfer chamber 106, and a load station 110, for loading substrates, coupled with the loadlock chamber 108. The transfer chamber 106 comprises a robot assembly (not shown) operable to pick up and transfer substrates between the loadlock chamber 108, the batch loadlock chamber 109, and the MOCVD chamber 102. Although a single MOCVD chamber 102 is shown, it should be understood that more than one MOCVD chamber 102 or additionally, combinations of one or more MOCVD chambers 102 with one or more Hydride Vapor Phase Epitaxial (HVPE) chambers may also be coupled with the transfer chamber 106. It should also be understood that although a cluster tool is shown, the embodiments described herein may be performed using linear track systems.

In one embodiment, the transfer chamber 106 remains under vacuum during substrate transfer processes. The transfer chamber vacuum level may be adjusted to match the vacuum level of the MOCVD chamber 102. For example, when transferring substrates from a transfer chamber 106 into the MOCVD chamber 102 (or vice versa), the transfer chamber 106 and the MOCVD chamber 102 may be maintained at the same vacuum level. Then, when transferring substrates from the transfer chamber 106 to the load lock chamber 108 (or vice versa) or the batch load lock chamber 109 (or vice versa), the transfer chamber vacuum level may be adjusted to match the vacuum level of the loadlock chamber 108 or batch load lock chamber 109 even through the vacuum level of the loadlock chamber 108 or batch load lock chamber 109 and the MOCVD chamber 102 may be different. Thus, the vacuum level of the transfer chamber 106 is adjustable. In certain embodiments, substrates are transferred in a high purity inert gas environment, such as, a high purity N2 environment. In one embodiment, substrates transferred in an environment having greater than 90% N2. In certain embodiments, substrates are transferred in a high purity NH3 environment. In one embodiment, substrates are transferred in an environment having greater than 90% NH3. In certain embodiments, substrates are transferred in a high purity H2 environment. In one embodiment, substrates are transferred in an environment having greater than 90% H2.

In the processing system 100, the robot assembly (not shown) transfers a substrate carrier plate 112 loaded with substrates into the single MOCVD chamber 102 to undergo deposition. In one embodiment, the substrate carrier plate 112 may have a diameter ranging from about 200 mm to about 750 mm. The substrate carrier plate 112 may be formed from a variety of materials, including SiC or SiC-coated graphite. In one embodiment, the substrate carrier plate 112 comprises a silicon carbide material. In one embodiment, the substrate carrier plate 112 has a surface area of about 1,000 cm2 or more, preferably 2,000 cm2 or more, and more preferably 4,000 cm2 or more. After some or all deposition steps have been completed, the substrate carrier plate 112 is transferred from the MOCVD chamber 102 back to the loadlock chamber 108 via the transfer robot. In one embodiment, the substrate carrier plate 112 is then transferred to the load station 110. In another embodiment, the substrate carrier plate 112 may be stored in either the loadlock chamber 108 or the batch load lock chamber 109 prior to further processing in the MOCVD chamber 102. One exemplary processing system 100 that may be adapted in accordance with embodiments of the present invention is described in U.S. patent application Ser. No. 12/023,572, filed Jan. 31, 2008, now published as US 2009-0194026, entitled PROCESSING SYSTEM FOR FABRICATING COMPOUND NITRIDE SEMICONDUCTOR DEVICES, which is hereby incorporated by reference in its entirety.

In one embodiment, a system controller 160 controls activities and operating parameters of the processing system 100. The system controller 160 includes a computer processor and a computer-readable memory coupled to the processor. The processor executes system control software, such as a computer program stored in memory. Exemplary aspects of the processing system 100 and methods of use adaptable to embodiments of the present invention are further described in U.S. patent application Ser. No. 11/404,516, filed Apr. 14, 2006, now published as US 2007-024516, entitled EPITAXIAL GROWTH OF COMPOUND NITRIDE STRUCTURES, which is hereby incorporated by reference in its entirety.

FIG. 2 is a schematic cross-sectional view of the MOCVD chamber 102 according to embodiments of the present invention. The MOCVD chamber 102 comprises a chamber body 202, a chemical delivery module 203 for delivering precursor gases, carrier gases, cleaning gases, and/or purge gases, a remote plasma system 226 with a plasma source, a susceptor or substrate support 214, and a vacuum system 212. The chamber body 202 encloses a processing volume 208. A showerhead assembly 204 is disposed at one end of the processing volume 208, and the substrate carrier plate 112 is disposed at the other end of the processing volume 208. The substrate carrier plate 112 may be disposed on the substrate support 214. The substrate support 214 has z-lift capability for moving in a vertical direction, as shown by arrow 215. In one embodiment, the z-lift capability may be used to move the substrate support 214 upwardly, and closer to the showerhead assembly 204, and downwardly, and further away from the showerhead assembly 204. In one embodiment, the distance from the surface of the showerhead assembly 204 that is adjacent the processing volume 208 to the substrate carrier plate 112 during processing ranges from about 4 mm to about 41 mm. In certain embodiments, the substrate support 214 comprises a heating element (e.g., a resistive heating element (not shown)) for controlling the temperature of the substrate support 214 and consequently controlling the temperature of the substrate carrier plate 112 and substrates 240 positioned on the substrate carrier plate 112 and the substrate support 214.

In one embodiment, the showerhead assembly 204 has a first processing gas channel 204A coupled with the chemical delivery module 203 via a first processing gas inlet 259 for delivering a first precursor or first process gas mixture to the processing volume 208. In one embodiment, the chemical delivery module 203 is configured to deliver a metal organic precursor to the first processing gas channel 204A. In one example, the metal organic precursor comprises a suitable gallium (Ga) precursor (e.g., trimethyl gallium (“TMG”), triethyl gallium (TEG)), a suitable aluminum precursor (e.g., trimethyl aluminum (“TMA”)), or a suitable indium precursor (e.g., trimethyl indium (“TMI”)).

In one embodiment, a blocker plate 255 is positioned across the first processing gas channel 204A. The blocker plate 255 has a plurality of orifices 257 disposed therethrough. In one embodiment, the blocker plate 255 is positioned between the first processing gas inlet 259 and the first processing gas channel 204A for uniformly distributing gas received from the chemical delivery module 203 into the first processing gas channel 204A.

In one embodiment, the showerhead assembly 204 has a second processing gas channel 204B coupled with the chemical delivery module 203 for delivering a second precursor or second process gas mixture to the processing volume 208 via a second processing gas inlet 258. In one embodiment, the chemical delivery module 203 is configured to deliver a suitable nitrogen containing processing gas, such as ammonia (NH3) or other MOCVD or HVPE processing gas, to the second processing gas channel 204B. In one embodiment, the second processing gas channel 204B is separated from the first processing gas channel 204A by a first horizontal wall 276 of the showerhead 204.

The showerhead assembly 204 may further include a temperature control channel 204C coupled with a heat exchanging system 270 for flowing a heat exchanging fluid through the showerhead assembly 204 to help regulate the temperature of the showerhead assembly 204. Suitable heat exchanging fluids include, but are not limited to, water, water-based ethylene glycol mixtures, a perfluoropolyether (e.g., Galden® fluid), oil-based thermal transfer fluids, or similar fluids. In one embodiment, the second processing gas channel 204B is separated from the temperature control channel 204C by a second horizontal wall 277 of the showerhead 204. The temperature control channel 204C may be separated from the processing volume 208 by a third horizontal wall 278 of the showerhead 204.

FIG. 3 is an enlarged view of detail A shown in FIG. 2. Referring to FIGS. 2 and 3, in one embodiment, the first precursor or first processing gas mixture, such as a metal organic precursor, is delivered from the first processing gas channel 204A through the second processing gas channel 204B and the temperature control channel 204C into the processing volume 208 via a plurality of inner gas conduits 246. The inner gas conduits 246 may be cylindrical tubes located within aligned holes disposed through the first horizontal wall 276, the second horizontal wall 277, and the third horizontal wall 278 of the showerhead 204. In one embodiment, the inner gas conduits 246 are each attached to the first horizontal wall 276 of the showerhead assembly 204 by suitable means, such as brazing.

In one embodiment, the second precursor or second processing gas mixture, such as a nitrogen precursor, is delivered from the second processing gas channel 204B through the temperature control channel 204C and into the processing volume 208 via a plurality of outer gas conduits 245. The outer gas conduits 245 may be cylindrical tubes each located concentrically about a respective inner gas conduit 246. The outer gas conduits 245 are located within the aligned holes disposed through the second horizontal wall 277 and the third horizontal wall 278 of the showerhead 204. In one embodiment, the outer gas conduits 245 are each attached to the second horizontal wall 277 of the showerhead assembly 204 by suitable means, such as brazing.

As previously presented, the MOCVD chamber 102 may be used for deposition of Group III-nitride films. In one embodiment, the Group III-nitride films are deposited at a temperature exceeding about 550° C. In one embodiment, during processing, a cooling fluid is circulated through the temperature control channel 204C in order to cool the showerhead assembly 204, and in particular, to cool the metal organic precursor being delivered through the inner gas conduits 246, which extend through the cooling channel 204C, to prevent decomposition of the metal organic precursor before it is introduced into the processing volume 208. Additionally, it is believed that surrounding the metal organic precursor flowing through each inner gas conduit 246 with a flow of nitrogen-containing gas through the second processing gas channel 204B and each outer conduit 245, provides additional cooling and thermal insulation from the high processing temperatures within the processing volume 208, in order to prevent decomposition of the metal organic precursor before it is introduced into the processing volume 208.

FIG. 4A is a partial, schematic, bottom view of a multiple precursor showerhead 400 having a classic, one-to-one, square pattern of gas passages. Similar to embodiments of the present invention, the showerhead 400 has a first processing gas channel coupled to a processing region via a first gas conduit 402 and a second processing gas channel coupled to the processing region via a second gas conduit 404. In the depicted configuration, the first conduits 402 and the second gas conduits 404 are configured in a one-to-one square pattern. The configuration depicted in FIG. 4A results in a pattern in which each row of conduits has more of the second gas conduits 404 than the first gas conduits 402 or vice versa. Additionally, the one-to-one square pattern of first and second gas conduits (402 and 404) results in a limited number of gas passages from each gas channel due to space constraints. The result is a less uniform than desirable distribution of gases across substrates positioned in the processing volume, resulting in less than desirable deposition uniformity.

FIG. 4B is a partial, schematic, bottom view of the showerhead assembly 204 from FIG. 2 and according to one embodiment of the present invention. As depicted, the concentric tube configuration comprising the outer gas conduit 245 that delivers a second gas from the second processing gas channel 204B and the inner gas conduit 246 that delivers a first gas from the first processing gas channel 204A are arranged in a much closer and more uniform pattern as compared to that shown in FIG. 4A. In one embodiment, the concentric tubes are configured in a hexagonal close packed arrangement. Such a configuration provides a significantly increased number of gas passages for both the first and second processing gases as compared to the configuration depicted in FIG. 4A. For instance, the configuration depicted in FIG. 4B has over twice as many gas passages for each processing gas as the configuration depicted in FIG. 4A for showerheads (204, 400) having the same surface area exposed to the processing volume 208. As a result of the configuration depicted in FIG. 4B, each of the first and second processing gases, delivered from the first processing gas channel 204A and the second processing gas channel 204B, is delivered more evenly across the substrates 240 positioned in the processing volume 208, resulting in significantly more deposition uniformity than the configuration depicted in FIG. 4A.

Exemplary showerheads that may be adapted to practice embodiments described herein are described in U.S. patent application Ser. No. 11/873,132, filed Oct. 16, 2007, now published as US 2009-0098276, entitled MULTI-GAS STRAIGHT CHANNEL SHOWERHEAD, U.S. patent application Ser. No. 11/873,141, filed Oct. 16, 2007, now published as US 2009-0095222, entitled MULTI-GAS SPIRAL CHANNEL SHOWERHEAD, and U.S. patent application Ser. No. 11/873,170, filed Oct. 16, 2007, now published as US 2009-0095221, entitled MULTI-GAS CONCENTRIC INJECTION SHOWERHEAD, all of which are incorporated by reference in their entireties.

Referring back to FIG. 2, a lower dome 219 is disposed at one end of a lower volume 210, and the substrate carrier plate 112 is disposed at the other end of the lower volume 210. The substrate carrier plate 112 is shown in an elevated, process position, but may be moved to a lower position where, for example, the substrates 240 may be loaded or unloaded. An exhaust ring 220 may be disposed around the periphery of the substrate carrier plate 112 to help prevent deposition from occurring in the lower volume 210 and also help direct exhaust gases from the chamber 102 to exhaust ports 209. The lower dome 219 may be made of transparent material, such as high-purity quartz, to allow light to pass through for radiant heating of the substrates 240. The radiant heating may be provided by a plurality of inner lamps 221A and outer lamps 221B disposed below the lower dome 219. Reflectors 266 may be used to help control exposure of the chamber 102 to the radiant energy provided by the inner and outer lamps 221A, 221B. Additional rings of lamps (not shown) may also be used for finer temperature control of the substrates 240.

In certain embodiments of the present invention, a purge gas (e.g., a nitrogen containing gas) is delivered into the chamber 102 from the showerhead assembly 204 through one or more purge gas channels 281 coupled to a purge gas source 282. In this embodiment, the purge gas is distributed through a plurality of orifices 284 about the periphery of the showerhead 204. The plurality of orifices 284 may be configured in a circular pattern about the periphery of the showerhead assembly 204 and positioned distribute the purge gas about the periphery of the substrate carrier plate 112 to prevent undesirable deposition on edges of the substrate carrier plate 112, the showerhead 204, and other components of the chamber 102, which result in particle formation and, ultimately contamination of the substrates 240. The purge gas flows downwardly into multiple exhaust ports 209, which are disposed around an annular exhaust channel 205. An exhaust conduit 206 connects the annular exhaust channel 205 to a vacuum system 212, which includes a vacuum pump 207. The pressure of the chamber 102 may be controlled using a valve system, which controls the rate at which the exhaust gases are drawn from the annular exhaust channel 205.

In other embodiments, purge gas tubes 283 are disposed near the bottom of the chamber body 102. In this configuration, the purge gas enters the lower volume 210 of the chamber 102 and flows upwardly past the substrate carrier plate 112 and exhaust ring 220 and into the multiple exhaust ports 209. Other aspects of the MOCVD chamber 102 are described in U.S. patent application Ser. No. 12/023,520, filed Jan. 31, 2008, published as US 2009-0194024, and titled CVD APPARATUS, which is herein incorporated by reference in its entirety.

The chemical delivery module 203 supplies chemicals to the MOCVD chamber 102. Reactive gases (e.g., first and second precursor gases), carrier gases, purge gases, and cleaning gases may be supplied from the chemical delivery system through supply lines and into the chamber 102. In one embodiment, the gases are supplied through supply lines and into a gas mixing box where they are mixed together and delivered to the showerhead assembly 204. Generally supply lines for each of the gases include shut-off valves that can be used to automatically or manually shut-off the flow of the gas into its associated line, and mass flow controllers or other types of controllers that measure the flow of gas or liquid through the supply lines. Supply lines for each of the gases may also include concentration monitors for monitoring precursor concentrations and providing real time feedback. Backpressure regulators may be included to control precursor gas concentrations. Valve switching control may be used for quick and accurate valve switching capability. Moisture sensors in the gas lines measure water levels and can provide feedback to the system software which in turn can provide warnings/alerts to operators. The gas lines may also be heated to prevent precursors and cleaning gases from condensing in the supply lines. Depending upon the process used some of the sources may be liquid rather than gas. When liquid sources are used, the chemical delivery module includes a liquid injection system or other appropriate mechanism (e.g., a bubbler) to vaporize the liquid. Vapor from the liquids is then usually mixed with a carrier gas as would be understood by a person of skill in the art.

The remote plasma system 226 can produce a plasma for selected applications, such as chamber cleaning or etching residue from a process substrate. Plasma species produced in the remote plasma system 226 from precursors supplied via an input line are sent via a conduit 204D for dispersion through the showerhead assembly 204 to the MOCVD chamber 102. Precursor gases for a cleaning application may include chlorine containing gases, fluorine containing gases, iodine containing gases, bromine containing gases, nitrogen containing gases, and/or other reactive elements. The remote plasma system 226 may also be adapted to deposit CVD layers flowing appropriate deposition precursor gases into remote plasma system 226 during a layer deposition process. In one embodiment, the remote plasma system 226 is used to deliver active chlorine species to the processing volume 208 for cleaning the interior of the MOCVD chamber 102.

The temperature of the walls of the MOCVD chamber 102 and surrounding structures, such as the exhaust passageway, may be further controlled by circulating a heat-exchange liquid through channels (not shown) in the walls of the chamber 102. The heat-exchange liquid can be used to heat or cool the chamber body 202 depending on the desired effect. For example, hot liquid may help maintain an even thermal gradient during a thermal deposition process, whereas a cool liquid may be used to remove heat from the system during an in-situ plasma process, or to limit formation of deposition products on the walls of the chamber. This heating, referred to as heating by the “heat exchanger”, beneficially reduces or eliminates condensation of undesirable reactant products and improves the elimination of volatile products of the process gases and other contaminants that might contaminate the process if they were to condense on the walls of cool vacuum passages and migrate back into the processing chamber during periods of no gas flow.

In one embodiment, during processing, a first precursor gas flows from the first processing gas channel 204A in the showerhead assembly 204 and a second precursor gas flows from the second processing gas channel 204B formed in the showerhead assembly 204 towards the surface of the substrates 240. As noted above, the first precursor gas and/or second precursor gas may comprise one or more precursor gases or process gasses as well as carrier gases and dopant gases which may be mixed with the precursor gases. The draw of the exhaust ports 209 may affect gas flow so that the process gases flow substantially tangential to the substrates 240 and may be uniformly distributed radially across the substrate deposition surfaces in a laminar flow. In one embodiment, the processing volume 208 may be maintained at a pressure of about 760 Torr down to about 80 Torr.

In summary, embodiments of the present invention include a showerhead assembly having concentric tube assemblies for separately delivering processing gases into a processing volume of a processing chamber. The concentric tube assemblies may be disposed in a hexagonal close packed arrangement for providing greater uniformity of the processing gases into the processing volume of the processing chamber. As a result, improved deposition uniformity is achieved on a plurality of substrates positioned in the processing volume of the processing chamber.

While the foregoing is directed to embodiments of the present invention, other and further embodiments of the invention may be devised without departing from the basic scope thereof, and the scope thereof is determined by the claims that follow.

Claims

1. A showerhead apparatus, comprising:

a first gas channel coupled to a first gas inlet;
a second gas channel coupled to a second gas inlet, wherein the first gas channel is isolated from the second gas channel;
a temperature control channel coupled to a heat exchanging system configured to supply a heat exchanging fluid through the temperature control channel;
a plurality of first gas conduits extending through the temperature control channel and fluidly coupling the first gas channel to an exit surface of the showerhead apparatus; and
a plurality of second gas conduits extending through the temperature control channel and fluidly coupling the second gas channel to the exit surface of the showerhead apparatus, wherein at least one of the first gas conduits is disposed within at least one of the second gas conduits.

2. The apparatus of claim 1, wherein each of the first and second gas conduits forms a concentric tube assembly.

3. The apparatus of claim 2, wherein the concentric tube assemblies are configured in a hexagonal close packed arrangement.

4. The apparatus of claim 3, wherein the first gas channel is disposed above the second gas channel.

5. The apparatus of claim 4, wherein the second gas channel is disposed above the temperature control channel.

6. The apparatus of claim 2, further comprising a blocker plate positioned between the first gas inlet and the first gas channel.

7. The apparatus of claim 2, wherein the showerhead apparatus has a plurality of gas passages disposed about the periphery of the exit surface, wherein the plurality of gas passages are fluidly coupled to a purge gas inlet, and wherein the plurality of gas passages are isolated from the first gas channel, the second gas channel, and the temperature control.

8. The apparatus of claim 2, wherein the first gas inlet is coupled to a metal organic gas source, and wherein the second gas inlet is coupled to a nitrogen containing gas source.

9. A substrate processing apparatus, comprising:

a chamber body;
a substrate support; and
a showerhead apparatus, wherein a processing volume is defined by the chamber body, the substrate support, and the showerhead apparatus, and wherein the showerhead apparatus comprises: a first gas channel coupled to a first gas inlet; a second gas channel coupled to a second gas inlet, wherein the first gas channel is isolated from the second gas channel; a temperature control channel coupled to a heat exchanging system configured to supply a heat exchanging fluid through the temperature control channel; a plurality of first gas conduits extending through the temperature control channel and fluidly coupling the first gas channel to the processing volume; and a plurality of second gas conduits extending through the temperature control channel and fluidly coupling the second gas channel to the processing volume, wherein at least one of the first gas conduits is concentrically disposed within at least one of the second gas conduits.

10. The apparatus of claim 9, wherein each of the first and second gas conduits forms a concentric tube assembly.

11. The apparatus of claim 10, wherein the concentric tube assemblies are configured in a hexagonal close packed arrangement.

12. The apparatus of claim 9, wherein the showerhead further comprises a blocker plate disposed between the first gas inlet and the first gas channel.

13. The apparatus of claim 9, wherein the showerhead has a plurality of gas passages disposed about the periphery of a surface of the showerhead adjacent the processing volume, wherein the plurality of gas passages are fluidly coupled to a purge gas inlet, and wherein the plurality of gas passages are isolated from the first gas channel, the second gas channel, and the temperature control channel.

14. The apparatus of claim 9, wherein the first gas inlet is coupled to a metal organic gas source, and wherein the second gas inlet is coupled to a nitrogen containing gas source.

15. The apparatus of claim 9, wherein the second gas channel is disposed between the first gas channel and the temperature control channel.

16. A method of processing substrates, comprising:

introducing a first gas into a processing volume of a processing chamber through a first gas inlet coupled to a first gas channel of a showerhead assembly;
introducing a second gas into the processing volume of the processing chamber through a second gas inlet coupled to a second gas channel of the showerhead assembly, wherein the first gas channel is isolated from the second gas channel, wherein the first gas is delivered into the processing volume through a plurality of first plurality of second gas conduits, and wherein at least one of the first gas conduits is concentrically disposed within at least one of the second gas conduits; and
cooling the showerhead assembly by flowing a heat exchanging fluid through a temperature control channel disposed in the showerhead assembly, wherein the plurality of first and second gas conduits are disposed through the heat exchanging channel.

17. The method of claim 16, further comprising distributing the first gas across the first gas channel using a blocker plate disposed between the first gas inlet and the first gas channel.

18. The method of claim 16, further comprising introducing a purge gas about the perimeter of the processing volume through a plurality of gas passages disposed about the periphery of a surface of the showerhead assembly adjacent the processing volume.

19. The method of claim 16, wherein the first gas is a metal organic precursor and the second gas is a nitrogen containing gas.

20. The method of claim 19, wherein the metal organic precursor contains gallium and the nitrogen containing gas is ammonia.

Patent History
Publication number: 20110256692
Type: Application
Filed: May 21, 2010
Publication Date: Oct 20, 2011
Applicant: APPLIED MATERIALS, INC. (Santa Clara, CA)
Inventors: Alexander Tam (Union City, CA), Anzhong Chang (San Jose, CA), Sumedh Acharya (Tulshibaugwale)
Application Number: 12/785,241