INTERNAL PLASMA GRID FOR SEMICONDUCTOR FABRICATION

The embodiments disclosed herein pertain to improved methods and apparatus for etching a semiconductor substrate. A plasma grid assembly is positioned in a reaction chamber to divide the chamber into upper and lower sub-chambers. The plasma grid assembly may include one or more plasma grids having slots of a particular aspect ratio, which allow certain species to pass through from the upper sub-chamber to the lower sub-chamber. Where multiple plasma grids are used, one or more of the grids may be movable, allowing for tenability of the plasma conditions in at least the lower sub-chamber. In some cases, an electron-ion plasma is generated in the upper sub-chamber. Electrons that make it through the grid to the lower sub-chamber are cooled as they pass through. In some cases, this results in an ion-ion plasma in the lower sub-chamber.

Skip to: Description  ·  Claims  · Patent History  ·  Patent History
Description
CROSS-REFERENCE TO RELATED APPLICATIONS

This application is a continuation of and claims priority to U.S. application Ser. No. 14/943,483, titled “INTERNAL PLASMA GRID FOR SEMICONDUCTOR FABRICATION,” filed Nov. 17, 2015, which is a continuation of U.S. application Ser. No. 13/916,318 (now U.S. Pat. No. 9,245,761), titled “INTERNAL PLASMA GRID FOR SEMICONDUCTOR FABRICATION,” filed Jun. 12, 2013, which claims the benefit of U.S. Provisional Application No. 61/809,246, titled “INTERNAL PLASMA GRID FOR SEMICONDUCTOR FABRICATION,” filed Apr. 5, 2013, all of which are incorporated herein by reference for all purposes.

BACKGROUND

One operation frequently employed in the production of semiconductors is an etching operation. In an etching operation, one or more materials are partly or wholly removed from a partially fabricated integrated circuit. Plasma etching is often used, especially where the geometries involved are small, high aspect ratios are used, or precise pattern transfer is needed.

Typically, a plasma contains electrons, as well as positive and negative ions, and some radicals. The radicals, positive ions, and negative ions interact with a substrate to etch features, surfaces and materials on the substrate. In etching conducted with an inductively coupled plasma source, a chamber coil performs a function analogous to that of a primary coil in a transformer, while the plasma performs a function analogous to that of a secondary coil in the transformer.

With the move from planar to 3D transistor structures (e.g., FinFET gate structures for logic devices), plasma etching processes need to be increasingly precise and uniform in order to produce quality products. Among other factors, the plasma etch processes should have good selectivity, profile angle, Iso/Dense loading, and overall uniformity.

It is beneficial for an etching process to have good selectivity between the material that is etched and the material that is retained. In the context of the FinFET gate structure, this means that there should be good selectivity of the gate being etched to other exposed components such as a silicon nitride mask. The profile angle is measured as the angle between a recently etched (roughly vertical) sidewall and a horizontal plane. In many applications, the ideal profile angle is 90 degrees, producing a vertical etched step or opening. Sometimes, the local on-wafer feature density can affect the etching process. For example, an area of the wafer where features are dense may etch somewhat differently (e.g., etch more quickly, more slowly, more isotropically, more anisotropically, etc.) as compared to an area of the wafer where features are more isolated. The differences which arise due to variations in feature density are referred to as Iso/Dense loading (I/D loading). It is beneficial to minimize these differences during fabrication. In addition to meeting these and potentially other device-specific requirements, the etching process often needs to be consistently executed over the entire face of a substrate (e.g., the etch conditions and results should be uniform from the center to the edge of a semiconductor wafer).

It has been found difficult to achieve multiple objectives such as those set forth above when etching advanced structures such as FinFET gates.

SUMMARY

Disclosed herein is an apparatus used in etching semiconductor substrates and layers formed thereon during the manufacture of semiconductor devices. In one aspect of the embodiments herein, an apparatus for etching a feature on a substrate is provided. The apparatus may include a chamber defining an interior where a plasma can be provided; a substrate holder for holding a substrate in the chamber during etching; a plasma generator for producing a plasma within the chamber; and a grid assembly dividing the interior of the plasma chamber into an upper sub-chamber proximate the plasma generator and a lower sub-chamber proximate the substrate holder, where the upper sub-chamber has a height that is at least about ⅙ that of the lower sub-chamber, and where the grid assembly includes two or more grids having a plurality of slots that substantially prevent formation of induced current in the grid when the plasma is produced within the chamber.

The apparatus may also include a controller designed or configured to produce the plasma in the chamber under conditions that produce an upper zone plasma in the upper sub-chamber and a lower zone plasma in the lower sub-chamber. In some embodiments, the effective electron temperature in the lower zone plasma is about 1 eV or less, and is less than the effective electron temperature in the upper zone plasma. In some embodiments, the electron density in the lower zone plasma is about 5×109 cm−3 or less, and is less than the electron density in the upper zone plasma. The controller may also be designed or configured to apply a bias to one or more grids of the grid assembly and/or to the substrate holder. The controller may also be designed or configured to deliver an etchant gas to the chamber. In certain cases, the controller is designed or configured to provide a pressure of less than about 2000 mTorr in the chamber while the plasma etches the substrate. In certain cases, however, the controller is designed or configured to provide a lower pressure in the chamber during etching, such as a pressure less than about 200 mTorr. In other cases, the controller may be designed or configured to maintain a pressure in the reaction chamber between about 1-20 mTorr, or between about 5-20 mTorr. The controller may also be designed or configured to provide a combination of conditions within the apparatus that produce an ion-ion plasma in the lower sub-chamber.

In certain embodiments, at least one grid of the grid assembly may have an average thickness of between about 1-50 mm, or between about 5-20 mm. In certain embodiments, the sum of thicknesses of the grids in the assembly is between about 2 and 50 mm. In some cases, the slots are radially directed or roughly radially directed. The slots in at least one grid of the grid assembly may have an aspect ratio between about 0.01-5. In some embodiments the aspect ratio of the slots is between about 0.3-5, between about 0.5-2, or between about 1-4. The slots are often arranged such that they extend roughly radially outwards. Azimuthally adjacent slots are sometimes separated by at least about 15°. In these or other cases, azimuthally adjacent slots may be separated by no more than about 60°.

The plasma generator in certain embodiments includes a coil disposed above a ceiling of the chamber. In some embodiments, the substrate holder is an electrostatic chuck. Various other elements may be included in the apparatus. For example, the apparatus may also include a process gas inlet. Furthermore, the apparatus may include a vacuum connection.

In a particular embodiment, the plasma grid assembly includes a first grid and a second grid. The first and second grid may have slots that are substantially identical, or they may have slot shapes and/or layouts that are different between the two grids. At least one of the first and second grids may be rotatable with respect to the other grid. This rotation may occur about an axis normal to an upper surface of the substrate holder. In certain implementations, the first and second grid may have slot patterns allowing plasma conditions in the lower sub-chamber to be radially tuned. In these or other implementations, at least one of the grids may be movable such that the distance between the first and second grids is variable. The aspect ratio of the assembly slots may be between about 0.1-5 in certain embodiments.

In another aspect of the embodiments herein, a method is provided for etching a feature on a substrate, including providing the substrate to a substrate holder in a chamber with a plasma generator and a grid assembly dividing the interior of the plasma chamber into an upper sub-chamber proximate the plasma generator and a lower sub-chamber proximate the substrate holder, where the grid assembly has at least two grids, and where the upper sub-chamber has a height that is at least about ⅙ that of the lower sub-chamber; generating a plasma in the chamber under conditions that produce an upper zone plasma in the upper sub-chamber and a lower zone plasma in the lower sub-chamber; etching the feature in the substrate by interaction of the lower zone plasma with the substrate. In some such methods, the effective electron temperature in the lower zone plasma is about 1 eV or less, and is less than the effective electron temperature in the upper zone plasma. In some embodiments, the electron density in the lower zone plasma is about 5×109 cm−3 or less, and is less than the electron density in the upper zone plasma.

In some cases, substantially no current is generated in the grids of the grid assembly when generating the plasma. The method may also include applying a bias to the grid, and/or applying a bias to the substrate holder. In certain embodiments, the method also includes providing an etchant gas to the chamber. The etching may be performed at a chamber pressure of less than about 2000 mTorr, and in some cases the etching is performed at a chamber pressure between about 1-200 mTorr, or between about 1-20 mTorr, or between about 5-20 mTorr. The lower zone plasma may be an ion-ion plasma, as described herein.

The method may also include rotating at least one grid of the grid assembly. In these or other implementations, the method may also include changing a distance between the grids along a central axis in the grid assembly. Typically, though not necessarily, rotational and/or translational adjustments such as these are performed prior to beginning to etch a particular layer of a partially fabricated semiconductor device or other structure. In certain implementations, however, these adjustments may be made during the etching process.

These and other features will be described below with reference to the associated drawings.

BRIEF DESCRIPTION OF THE DRAWINGS

FIG. 1 is a schematic cross-sectional diagram illustrating a plasma processing system utilized for etching operations in accordance with certain embodiments disclosed herein.

FIG. 2A is a simplified top-down view of a grid structure in accordance with certain embodiments disclosed herein.

FIG. 2B is a picture of a grid structure in accordance with certain embodiments herein.

FIGS. 3A-3B illustrate a pair of plasma grids that may be used to radially tune plasma conditions in the lower sub-chamber.

FIGS. 3C-3D illustrate a pair of plasma grids having C-shaped slots according to an embodiment herein.

FIGS. 3E-3F illustrate close-up views of a grid assembly and the trajectory of ions through the assembly when the perforations in the top and bottom grids are aligned (FIG. 3E) and when they are not aligned (FIG. 3F).

FIG. 4 illustrates a simplified representation of a processing chamber having a fixed plasma grid positioned over a movable plasma grid in accordance with an embodiment herein.

FIG. 5 shows a simplified representation of a processing chamber having a movable plasma grid over a fixed plasma grid in accordance with an embodiment herein.

FIGS. 6A-6C illustrate certain problems that arise due to etching byproduct dissociation.

FIGS. 7A-7B show SEM images of FinFET structures that have been etched according to a high pressure conventional technique (7A) and according to an embodiment using a plasma grid (7B).

FIGS. 8A-8B show SEM images of features etched according to a low pressure conventional technique (8A) and according to a presently disclosed embodiment using a plasma grid (8B).

FIG. 9 shows various SEM images of features that have been etched according to various regimes without the use of a plasma grid.

DETAILED DESCRIPTION

In this application, the terms “semiconductor wafer,” “wafer,” “substrate,” “wafer substrate,” and “partially fabricated integrated circuit” are used interchangeably. One of ordinary skill in the art would understand that the term “partially fabricated integrated circuit” can refer to devices on a semiconductor wafer during any of various stages of integrated circuit fabrication thereon. The following detailed description assumes the invention is implemented on a wafer. However, the invention is not so limited. The work piece may be of various shapes, sizes, and materials.

In the following description, numerous specific details are set forth in order to provide a thorough understanding of the presented embodiments. The disclosed embodiments may be practiced without some or all of these specific details. In other instances, well-known process operations have not been described in detail to not unnecessarily obscure the disclosed embodiments. While the disclosed embodiments will be described in conjunction with the specific embodiments, it will be understood that it is not intended to limit the disclosed embodiments.

Disclosed is an apparatus used in etching semiconductor substrates and layers formed thereon during the manufacture of semiconductor devices. The apparatus is defined by a chamber in which etching is performed. In certain embodiments, the chamber includes a planar window, a generally planar excitation coil, and a pedestal or chuck for holding the semiconductor substrate during etching. Of course, this disclosure is not limited to any particular type of plasma source. In addition to planar excitation coils, dome and plate plasma sources may be employed. Sources include inductively coupled plasma sources, capacitively coupled plasma sources, and others known to those of skill in the art. The embodiments herein utilize a grid positioned within the chamber that separates the chamber into two sub-chambers. In various embodiments, a collection of two or more stacked grids, sometimes referred to as a “grid assembly” is used. During operation, each sub-chamber contains a plasma having distinct properties. Plasma is primarily or exclusively generated in the upper sub-chamber, and certain species are able to pass unaffected through the grid or grid assembly into the lower sub-chamber. The grid has slots, which penetrate the thickness of the grid. In certain implementations, these slots extend roughly radially outward. As used herein, “extending roughly radially outwards” means that the feature being discussed has at least some radially directed component. In other words, the entire feature need not be overall radially directed, so long as there is some part of the feature that extends in a generally center-to-edge direction. Further, the “center-to-edge direction” is defined to include a range of angles around the true center-to-edge direction (e.g., within about 20° of the true center-to-edge direction).

The grid or grid assembly may contain multiple radial slots that penetrate the thickness of the grid. The grid and slots are designed such that only a fraction of high energy electrons in the upper sub-chamber may pass through the grid. Collectively, the higher energy electrons generally become lower energy “colder” electrons upon passing through the grid and entering the lower sub-chamber. While high energy electrons may have sufficient energy to pass through the grid, many of them approach the grid at an angle at which they collide with the grid and lose energy. The high energy electrons that do make it through the grid do not have enough energy collectively to sustain the plasma underneath grid because they are now isolated from the excitation source. The mechanisms for the hot electrons to become cold in the lower chamber include collision with the grid, collisions with neutral species under the grid and shielding of the electrons under the grid from the excitation source above the grid. Thus, the grid may produce a plasma in the lower sub-chamber having a low electron density (ne) and low average effective electron temperature (Te). Above the grid or grid assembly, the plasma is typically a conventional electron-ion plasma, in which a very large fraction of the negatively charged species are electrons. Below the grid or grid assembly, the plasma contains a much higher percentage of negative ions and in fact may be an ion-ion plasma. Certain characteristics of an ion-ion plasma are described below. Generally, as compared to the electron-ion plasma, the ion-ion plasma contains a significantly higher proportion of negatively charged species that are ions (rather than electrons).

Position of the Grid within the Reactor

The grid or grid assembly is positioned inside the plasma chamber, thereby separating the chamber into an upper sub-chamber and a lower sub-chamber. An example of a chamber suitable for modification to include a grid as described herein is a Kiyo Reactor from Lam Research Corporation of Fremont, Calif. For context, the following description may be considered with reference to FIG. 1, which is further described below. In certain implementations, the grid is positioned between about 1-6 inches above the interior base of the reaction chamber, or between about 1-6 inches (e.g., between about 1.5-3 inches) above a substrate supporter such as a pedestal. In these or other implementations, the grid may be positioned between about 1-6 inches (e.g., between about 1.5-3 inches) below the interior ceiling of the reaction chamber. The ceiling is often outfitted with a dielectric window.

In certain embodiments, the heights of the upper and lower sub-chambers are substantially the same (e.g., within about 5%), while in other embodiments these heights may differ more considerably. The ratio of the height of the upper chamber to the height of the lower chamber (hu/hl), also referred to as the sub-chamber height ratio, may be between about 0.1-10, or between about 0.2-5. In some embodiments, the sub-chamber height ratio is greater than about ⅙.

The grid should not be positioned too close to the wafer, as this may cause printing of the grid to occur on the wafer's face. In other words, the pattern of slots in the grid may undesirably appear on the face of the wafer after processing, causing severe etch non-uniformity on the substrate surface. For many applications, a separation distance of at least about 1 inch from the top of the substrate to the grid is sufficient.

The Grid Design

Various designs may be used to implement the grid. In some embodiments, the grid is a fairly simple thin sheet of material having slots, generally circular holes, or other perforations allowing some electrons to pass from the upper sub-chamber to the lower sub-chamber. In other embodiments, the grid may consist of a more complex grid assembly having multiple components. For example, the grid assembly may have multiple grids, support elements and/or movement causing elements.

In a simple implementation, the grid is a relatively thin sheet having slots. Additionally, in some embodiments, the grid may include holes. Thus, the grid includes holes and slots in combination. Non-limiting examples of grid structures are shown in FIGS. 2A-2B and 3A-3D. The material contained in the grid may be an insulator, conductor, or some combination thereof. In certain implementations, the grid contains one or more materials including, but not limited to, metals, metallic alloys such as stainless steel, aluminum, titanium, ceramic, silicon, silicon carbide, silicon nitride, and a combination thereof. The material may or may not be anodized or otherwise passivated for, e.g., corrosion resistance. In one embodiment, the grid is made from a metallic material having a ceramic coating. Other coatings may also be used. The use of a coated grid is especially beneficial where the layers being etched are volatile. In certain implementations, a grid may be coated with a pure coating including, but not limited to, coatings of Y2O3, YF3, YAG, titanium nitride, or CeO2, for example. Further, the grid may be grounded, floating or biased. In some implementations, a grounded grid acts as an enhanced bias current return for the cathode.

The grid generally spans an entire horizontal cross-section of the chamber. Where the chamber is circular (as viewed from above), the grid will also be circular. This allows the grid to effectively divide the reaction chamber into two sub-chambers. In certain designs, the circular shape of the grid is defined by to the geometry of the substrate, which is typically a circular wafer. As is well known, wafers typically are provided in various sizes, such as 200 mm, 300 mm, 450 mm, etc. Other shapes are possible for square or other polygonal substrates or smaller substrates, depending on the etching operations performed within chamber. Thus, the cross-section of the grid may have a variety of shapes and sizes. A flat planar grid cross-section is appropriate for some embodiments. However, dished, domed, oscillating (e.g., sinusoidal, square wave, chevron shapes), slanted, etc. grid cross-sections are appropriate in other embodiments. The slots or holes through any of these cross-sectional profiles will have characteristics (including aspect ratios as described elsewhere herein).

The grid may on average be between about 1-50 mm thick, preferably between about 5-20 mm thick. If the grid is too thick, it may not function correctly (e.g., it may block too many species from getting through, have too much mass, take up too much space in the reaction chamber, etc.). If the grid is too thin, it may not be able to withstand the plasma processing, and may need to be replaced fairly often. Typically, the thickness of the grid is also limited by the desired aspect ratio of the slots in the grid, as the height of the slots is determined by the grid thickness, as described below.

In some embodiments, the grid functions as a separator between an upstream and a downstream plasma, where the downstream plasma exists in the lower sub-chamber and may be radical rich. In this manner, a plasma chamber outfitted with a grid may produce a result similar to that accomplished with existing remote plasma tools such as the GAMMA™ platform tools available from Novellus Systems, now Lam Research Corporation of Fremont, Calif. When operated for this purpose, the grid may be relatively thick, e.g., about 20-50 mm thick.

In certain embodiments, the grid includes slots that have a long, thin shape. The slots extend radially outwards from the center of the grid. The slots have a height, width and length (the width and length are explicitly labeled in FIG. 2A). The slot height is measured along an axis perpendicular to the face of the grid (i.e., the slot height is oriented vertically in most operating configurations), and this height is generally equal to the thickness of the grid. The width of the slots may be variable or constant over the radial extent of the slots. In certain cases, the slots may be pie-shaped (i.e., thinner towards the center and thicker towards the edge of the grid). In various embodiments, the slots extend length-wise outwards from the center of the grid (i.e., radially). In some embodiments, the slot widths are no greater than about 25 mm. The length of the slots may be variable or constant around the azimuthal extent of the grid. The angular separation of the radial slots may be variable or constant around the grid.

If no slots were present in the grid, a current would be induced in the grid during plasma generation. This current would flow substantially circularly around the grid or would form local eddy currents, and would result in increased power consumption. However, the presence of the slots prevents such parasitic current from forming, thereby saving power and resulting in a more efficient process. Openings having shapes such as substantially circular holes are less effective in preventing this current from forming. However, as mentioned, circular openings may be used in conjunction with slotted openings.

The aspect ratio of a slot is defined as the ratio of the slot's height to its width (h/w). Typically, the geometry of this aspect ratio will be viewable as a cross-section taken perpendicular to the lengthwise direction of the slot (often radial). Because the width of the slots may be variable, the aspect ratio may be similarly variable. In certain embodiments, the slots' aspect ratio (which may be variable or constant throughout the grid) is between about 0.01-5, or between about 0.3-5, or between about 1-4, or between about 0.5-2. In many embodiments, grids having these aspect ratios reduce the electron density and effective electron temperature in the lower sub-chamber, as compared to the upper sub-chamber. As mentioned, it is believed that the effective electron temperature is reduced as electrons pass through the slots at least in part because a number of hot electrons are colliding with the grid. Further, the effective electron temperature in the lower sub-chamber is reduced compared to the upper sub-chamber because the electrons in the lower sub-chamber are shielded by the grid and therefore are not subject to inductive heating from the plasma coils (or other plasma source).

When holes are employed together with slots, the holes may serve the same purposes as the slots. Therefore they will generally have aspect ratios as set forth above. In some embodiments, the holes have a diameter in the range of about 0.05 inches to about 0.2 inches. They penetrate the full thickness of the grid.

An additional benefit provided by the grid is that it may neutralize convective flow effects from the main injector. This allows for a more uniform gas flow onto the face of the wafer. The presence of a grid or grid assembly between the wafer and the gas injector(s) in the upper chamber can significantly reduce the convective impact of any gas delivered out of the gas injector(s) because the grid will disrupt the gas flow and result in a more diffusive flow regime over the wafer.

In some embodiments, the grid contains gas delivery holes. In such embodiments, the grid may serve the additional purpose of being a showerhead for the upper and/or lower sub-chambers. In these embodiments, one or more channels may be included in one or more grids. These channels may be fed with gas from an inlet (or multiple inlets), and deliver the gas to a plurality of outlet holes in the grid(s). The outlet holes may form gas distribution showerheads that deliver process gasses to either or both of the upper and lower sub-chambers.

In some implementations, the grid has a region such as a central region containing a feature for allowing a probing apparatus to be disposed through the grid. The probing apparatus can be provided to probe process parameters associated with the plasma processing system during operation. Probing processes can include optical emission endpoint detection, interferometeric endpoint detection, plasma density measurements, ion density measurements, and other metric probing operations. In certain embodiments, the central region of the grid is open. In other embodiments, the central region of the grid contains an optically clear material (e.g., quartz, sapphire, etc.) to allow light to be transmitted through the grid.

In certain embodiments, it may be preferable to have a slot in the grid about every 15 mm to 40 mm near the outer edge of the grid for a 300 mm wafer etcher. This corresponds to azimuthally adjacent slots being separated by about 18°, or about 48°, respectively. As such, in certain embodiments, azimuthally adjacent slots are separated by at least about 10°, or at least about 15°. In these or other embodiments, azimuthally adjacent slots are separated by no more than about 40°, or no more than about 50°, or no more than about 60°.

In some embodiments, the plasma grid may include cooling channels embedded in the grid, and these cooling channels may be filled with a flowing or non-flowing coolant material. In certain embodiments, the cooling material is a fluid such as helium or other inert gas or a liquid such as DI water, process cooling water, fluoroinert, or a refrigerant such as perfluorocarbons, hydrofluorocarbons, ammonia and CO2. In these or other embodiments, the plasma grid may include embedded heating elements and/or a temperature measurement device. The cooling channels and embedded heaters allow for precise temperature control, which permit close control over the particle and wall conditions. This control may be used to tune the conditions in the lower zone plasma, in certain cases. For example, where the plasma grid is maintained at a cooler temperature, etch byproducts from the wafer will preferentially deposit on the grid, thereby reducing the gas phase density of the etch byproducts in the lower sub-chamber. Alternatively, the grid or grid assembly may be maintained hot (e.g., above 80° C.) to reduce the deposition on the grid and ensure that the chamber can remain relatively clean and/or reduce the time required to clean the chamber during waferless auto clean (WAC).

Another feature which may be included in certain embodiments is that the grid may act as a showerhead for delivering process gases to either or both of the upper and lower sub-chambers. As such, the grid may contain a plurality of channels which connect a gas supply source with the upper and/or lower sub-chambers. The showerhead holes may be arranged to provide uniform gas delivery into the sub-chambers.

Further, in certain embodiments, more than one gas supply source is used. For example, different process gases may be delivered to the upper and lower sub-chambers (either through one or more showerhead-type grids or by other gas delivery means). In a particular implementation, an inert gas is delivered to the upper sub-chamber, and plasma etching chemistry is delivered to the lower sub-chamber. In some other embodiments, the gas delivered to the upper sub-chamber is H2, N2, O2, NF3, or C4F8 or another fluorocarbon, though the embodiments are not so limited. In these or other implementations, the gas delivered to the lower sub-chamber may be N2, CO2, or CF4 or another fluorocarbon, though again, the embodiments are not so limited.

It is sometimes useful to have a plasma processing reactor allowing a wide range of plasma conditions adjacent to the work substrate. Such conditions include the plasma density, the effective electron temperature in the plasma, and the ratio of electrons to ions in the plasma. For in situ processing, where multiple layers are being processed in a chamber, it may be necessary to change the processing conditions for each layer. A fixed position grid may limit the operating window of the reactor, e.g. high plasma density may not be achievable if the grid is optimized for producing an ion-ion plasma in the lower sub-chamber. Therefore, certain embodiments provide grids and grid assemblies in which grid line of sight open area is tunable by rotation and/or translation.

In certain embodiments, the plasma grid can be raised or lowered with respect to the plasma coils or other plasma source. This may be accomplished by mounting the grid on a movable stage, for example. In some implementations, the vertical movement allows an operator or controller to change the effective electron temperature, the electron or plasma density, the ratio of electrons to ions, the concentration of radicals, etc. in the upper and lower zone plasmas. Further, because the concentration of radicals is affected by the height of the plasma grid, the use of a movable plasma grid allows for a process where the concentration of radicals is tunable throughout a multi-stage process. As radical species are chemically reactive and negative ions have different etch properties than electrons, this parameter is especially useful to tune/control to achieve a desired reaction.

Furthermore, in some implementations multiple plasma grids may be used in a single grid assembly in the reaction chamber. Where multiple grids are used, the number of grids is typically between about 2 and 5. Often, where multiple plasma grids are used, at least one of the plasma grids is movable with respect to at least one other plasma grid. Generally, the movement is accomplished either by rotating or separating the grids (in some cases both types of movement are used). The use of a rotatable grid in a grid assembly allows the grid open area to be easily varied both between different processes/wafers, and within a single process/wafer over the course of processing the wafer. Importantly, the effective electron temperature and electron density in the lower sub-chamber will be a function of the grid open area.

Where multiple grids are used, it is helpful to define certain additional parameters. An assembly slot or other opening is an area of the assembly, as viewed from above, where an opening in one grid is aligned with an opening in the other grid(s), thus creating a clear line of sight through the plasma grid assembly, as shown in FIG. 3E. Where the slots/holes in the grids do not align, there is no clear line-of-sight through the grid assembly, as shown in FIG. 3F. With regard to FIGS. 3E-F, upper grid 302 is positioned above lower grid 304. The dark areas below each of the grids 302 and 304 are open areas through which species may travel. In a specific embodiment, the dark area below lower grid 304 is an upper portion of the lower sub-chamber. Multiple assembly opening are typically present in a single plasma grid assembly. The geometry of the assembly opening varies as the individual grids move with respect to one another. For example, the width of an assembly slot may vary as a first grid rotates with respect to a second grid. Similarly, the aspect ratio of the assembly slots, defined as total distance between the top of the top grid and bottom of the bottom grid divided by the line of sight open width, may vary as the grids rotate or otherwise move with respect to one another. In some embodiments, the aspect ratio of the assembly slots may range between about 0.1-5.

The grid assembly open area is defined as the total area of the slots on the grid assembly where the slots are aligned (as viewed from a plane parallel to the face of the grid). Where the slots are misaligned, as shown in FIG. 3F, certain species in the plasma (especially charged species such as ions and electrons) substantially do not pass through to the lower sub-chamber. This misaligned arrangement of slots effectively increases the aspect ratio of the slots in the assembly, or eliminates the assembly slots altogether where there is no slot overlap, reducing the fraction of hot electrons passing from the upper sub-chamber to the lower sub-chamber. Where the slots are aligned as in FIG. 3E, however, plasma species can pass through the slots as described above. In one example, two identical plasma grids are used, each having about 50% open (slotted) area. In this example, the grid assembly open area may vary between 0% (when the individual plasma grids are completely misaligned) and about 50% (when the individual plasma grids are exactly aligned). In another example, each plasma grid has an open area of about 75%. In this case, the grid assembly open area may vary between about 50-75%. By changing the grid assembly open area, the plasma conditions in the lower sub-chamber may be tuned. For example, when the grid assembly open area is larger, the effective electron temperature in the lower zone plasma is higher, the electron density in the lower zone plasma is higher, the ratio of electrons to ions in the lower zone plasma is higher, and the concentration of radicals in the lower zone plasma is lower, as compared to when the grid assembly open area is smaller.

The use of multiple grids is particularly beneficial because it provides a wide process window of plasma densities and other plasma conditions over the wafer in a single processing station. This benefit is especially helpful when processing complicated structures having multiple layers and/or multiple types of exposed materials. As mentioned, it is often necessary to change the processing conditions for each layer processed.

The slot pattern on each plasma grid may be the same or different from the other plasma grid slot pattern. Further, the slot patterns may be designed to provide open area over particular areas of the wafer. For example, the slots may be designed such that there is more open area near the center of the wafer compared to the edge of the wafer (or vice versa). Further, the slots may be designed such that the grid assembly open area is concentrated on different parts of the wafer at different times during the process. For example, the slots may be designed such that the grid assembly open area is concentrated near the center of the wafer towards the beginning of the process, and near the edge of the wafer towards the end of the process (or vice versa). This rotation allows the gas flow, plasma density, plasma type (e.g., ion-ion plasma), and effective electron temperature, to name a few parameters, to be tuned radially over the wafer over the course of the process. This tunability may be beneficial in producing uniform etch results over the entire face of the wafer, and may be particularly helpful in addressing center-to-edge non-uniformities that otherwise arise during processing. An example of a pair of electron grids that may be used in a plasma grid assembly to achieve these radial tuning effects is shown in FIGS. 3A-3B. In these figures, the slots (open area) are shown in gray and the grid material is shown in white.

The use of separable grids allows certain distances to be controlled and tuned. For example, distances that might be tuned include the distance between the wafer and the lower grid, the distance between the top of the upper sub-chamber and the upper grid, and/or the distance between the grids. These variable distances allow a wider range of electron temperature and plasma density tuning above the wafer compared to a single fixed grid.

Certain implementations utilize a plasma grid assembly having both movable and fixed plasma grids. The grids may be grounded or electrically floating, and may be supported by support legs or other feature connected to a movement causing element such as a rotational actuator or lifter. In some embodiments, the movement causing element is positioned below the wafer and wafer support pedestal, though other placements may be used. The support legs may be conductive or insulating, depending on whether the grid being supported is grounded or electrically floating.

It is generally beneficial for the fixed grid to be grounded. When the fixed grid is positioned above the movable grid, as shown in FIG. 4, the grounded connection of the fixed grid provides a good ground path for any RF current flowing to the grid from the upper chamber excitation source. This may be especially useful where the upper chamber is excited by an ICP source and is less than about 5 cm in height, or where the upper-zone plasma is generated using a VHF CCP source. When the fixed grid is positioned below the movable grid, as shown in FIG. 5, the grounded connection provides a large ground return surface for the bias current in the lower-zone plasma. This may be especially desirable where large bias voltages (e.g., greater than about 100 V) are required on the wafer during the etch process.

The optimal electrical connection of the movable grid may depend on the relative position of the fixed and movable grids. Where the fixed grid is positioned above the movable grid, it may be beneficial for the movable grid to be electrically floating. In contrast, where the fixed grid is positioned under the movable grid, the movable grid may be either grounded or floating. Where the movable grid is grounded, the support structure should be conductive (e.g., metallic). Where the movable grid is electrically floating, the support structure should be insulating.

When the fixed grid is positioned below the movable grid, the fixed grid may have arc shaped slots (or other slots allowing for arc-like movement across the slots) to allow the movable grid supports to extend through the fixed grid in order to connect the supports with the movement causing element. As noted above, this implementation is shown in FIG. 5. Alternatively, the movable grid may be supported by movable support structures extending inwards from the periphery of the reaction chamber, or it may be supported by a structure that connects with the top of the reaction chamber. Whatever implementation is used, the support structure should be designed such that it does not interfere with the formation of the upper and lower zone plasmas as desired. Further, it is desirable to keep the actuators for moving the grids well below the wafer plane such that there is minimal risk of particle transport from the actuators to the wafer.

The grid assembly can be biased using DC or RF sources. If the grid assembly has multiple conductive grids, it is desirable to bias them together to the same potential. Alternatively, the grid assembly may consist of only one conductive grid and one or more floating/insulating grids where only the conductive grid is biased.

Plasma Properties

The grid effectively divides the chamber plasma two zones: an upper zone proximate the coils for generating the plasma and a lower zone proximate the substrate holder. In various embodiments, the plasma in the upper zone contains relatively “hot”, high-energy electrons. Often, this plasma is characterized as an electron-ion plasma. In various embodiments, the plasma in the lower zone contains relatively “cold”, low-energy electrons. Often, this lower zone plasma is characterized as an ion-ion plasma.

Plasma may be generated primarily or exclusively in the upper sub-chamber. In one embodiment, an inductively coupled plasma is generated in the upper sub-chamber by running current through coils located above the upper sub-chamber. A single coil or multiple coils may be employed. In other embodiments, a capacitively coupled plasma is generated using, for example, a VHF CCP source. The plasma in the upper sub-chamber will have distinctly different characteristics from the plasma in the lower sub-chamber due to the presence of the grid.

In many embodiments, the upper zone plasma is a conventional electron-ion plasma. In this type of plasma, most of the positively charged species are positive ions and most of the negatively charged species are electrons. Although negative ions exist, they are present only in relatively low concentrations. In contrast, the plasma in the lower sub-chamber is an ion-rich plasma, often an ion-ion plasma. As compared to the electron-ion plasma, the ion-ion plasma has a greater proportion of negatively charged species that are negative ions, and a lower proportion of negatively charged species that are electrons. In certain implementations, the ratio of the concentration of positive ions to the concentration of electrons (sometimes referred to as the positive ion to electron ratio, ni/ne) in the ion-ion plasma is about 2 or greater, and in some cases is about 5 or greater, or even about 10 or greater. In certain cases, the positive ion to electron ratio is at least about 2 times greater (e.g., at least 5 times greater) in the lower plasma than in the upper plasma.

A related difference between the two plasmas is that the upper zone plasma has a significantly higher electron density. For example, the electron density in the lower zone plasma may be about 5×109 cm−3 or less (e.g., about 1×109 cm−3 or less). These ranges are particularly applicable to electron negative processing gases. The upper zone plasma may have an electron density that is at least about 10 times greater (e.g., at least about 100 times greater, or at least about 1000 times greater) than that of the lower zone plasma. In some cases, the lower sub-chamber has an ion-ion plasma where electron density is at least an order of magnitude smaller than the negative ion density and positive ion density. In a particular example, Ne˜108 cm−3, Ni+˜109 cm−3, Ni−˜109 cm−3.

An additional difference between the upper and lower zone plasmas, which is somewhat implicit based on the electron:ion ratios, is that the lower zone plasma will typically have a higher ratio of negative ions to positive ions. Because the upper zone electron-ion plasma typically contains primarily positive ions and electrons, with relatively few negative ions, the negative ion:positive ion ratio will be low. The negative ion:positive ion ratio in the lower zone plasma may be between about 0.5-1 (e.g., between about 0.8-0.95).

One non-limiting explanation for relatively low concentration of electrons in the lower zone plasma is that the electrons initially present in the lower zone (e.g., the electrons passing from the upper zone to the lower zone through the grid) are generally not heated by RF fields and quickly lose energy due to inelastic collisions with gas molecules, resulting in low effective electron temperature. These low energy electrons are more likely (as compared to the high energy electrons in the upper zone plasma) to interact with a neutral species to produce negative ions. Electrons must have a relatively low energy to attach to neutrals and form negative ions. This negative ion production will not occur with high energy electrons, which may “kick off” another electron when colliding with the neutral species instead of combining to form a negative ion.

As indicated, the effective electron temperature is greater in the upper zone plasma as compared to the lower zone plasma. Electrons may be cooled as they pass through the slots in the grid. Typically, the effective electron temperature in the lower zone plasma is about 1 eV or less. In certain cases, the effective electron temperature in the lower zone plasma may be between about 0.1-1 eV (e.g., between about 0.2-0.9 eV). The effective electron temperature may be at least about two times greater (e.g., at least about three times greater) in the upper zone plasma than in the lower zone plasma, as measured in electron volts. In a particular implementation, the upper zone plasma has an effective electron temperature of about 2.5 eV and the lower zone plasma has an effective electron temperature of about 0.8 eV. In various embodiments, this difference in the effective electron temperature arises wholly or partially from the presence of the grid.

Without being limited to any specific theory or mechanism, the role of the grid may be explained as follows. The grid may partially shield the lower sub-chamber such that the charged species therein are not directly exposed to power from the plasma coils. Additionally, the particular aspect ratios of the slots in the grid cause a fraction of the high energy electrons to collide with the grid when passing through the slots. This produces two qualitatively different plasmas in the two plasma zones.

Another distinguishing characteristic of the upper and lower zone plasmas is their plasma potentials. The plasma potential in the upper chamber is generally higher than in the lower chamber. For example, the plasma potential in the upper plasma may be between about 8-35 V (e.g., between about 10-20 V), while the plasma potential in the lower plasma may be between about 0.4-10 V (e.g., between about 0.5-3 V). This is because the electron energy has dropped and so the plasma does not need to be as positive to prevent electrons from leaving it.

Further, the two plasmas will typically have different energy distribution functions (e.g., ion energy distribution function and electron energy distribution function). Both the electron and ion energy distribution functions will be narrower in the lower plasma and broader in the upper plasma. By using the grid, it is possible to achieve a very narrow ion energy distribution function without using sophisticated control with a waveform generator. For example, the ion energy distribution function for the lower plasma might have a full width half maximum of only about 5 V. As a consequence, negative current can be drawn from negative ions, which arrive at the substrate surface to maintain electro-neutrality (instead of electrons serving this purpose). This provides a unique etching mechanism.

The radical concentration in the lower zone plasma may range between about 1% of total neutral density to about 70% of the total neutral density, or about 10% to about 70% of the total neutral density or about 10% to about 50% of the total neutral density.

The chamber pressure during the etch operation may be below about 2000 mTorr, such as between about 1-2000 mTorr (e.g., between about 2-200 mTorr). In one particular example, the chamber pressure is maintained at or below about 20 mTorr. These pressures are particularly useful when employed with a lower zone plasma having an effective electron temperature of about 0.5 eV or lower and/or an electron density of about 5×108 cm−3 or lower. These pressures are also particularly useful when employed with a lower zone ion-ion plasma.

Ion-ion plasmas are believed to provide certain benefits for semiconductor processing. For example, partially fabricated semiconductor devices etched in an ion-ion plasma show very good selectivity, profile angle, VD loading, and overall uniformity across the face of the substrate being etched. Previous techniques could not achieve all of these benefits (i.e., process designers had to choose between, for example, achieving good overall etch uniformity and the other benefits). Thus, the embodiments herein represent a significant advancement in etching methodology.

FIGS. 6A-6C illustrate the effect of the breakdown of etching byproducts on a feature being etched. To begin, FIG. 6A shows a substrate having three layers deposited thereon. The bottom layer represents a gate oxide, the middle layer represents polysilicon, and the top layer (shown as three separate blocks) represents a hard mask. It is believed that in a conventional etching process, the plasma present in the chamber acts, in part, to dissociate etching byproducts, as shown in FIG. 6B. These byproducts are often volatile components (e.g., SiBr4), which under the right conditions are swept away from the substrate. However, when a high electron density plasma, which is typical of an electron-ion plasma, contacts the wafer, high energy electrons in the plasma can react with the volatile byproducts to cause them to dissociate into physico-chemically “sticky” dissociation products (e.g., SiBr2). These dissociation products adhere to the substrate as shown in FIG. 6B, often to a sidewall of a feature being etched, and cause the etch process to occur in a non-vertical or otherwise undesirable manner, as shown in FIG. 6C. This dissociation product adherence/redeposition leads to local loading effects resulting in a non-vertical etch.

The use of a grid to reduce the effective electron temperature of the plasma proximate the substrate being etched reduces these undesirable effects. The production of an ion-ion plasma, with its correspondingly reduced electron density and effective electron temperature, therefore significantly reduces these undesirable effects. Because ions generally have significantly less energy than electrons, the ions in the present embodiments' ion-ion plasma do not cause this byproduct dissociation. Although the present embodiments may produce an electron-ion plasma, this high electron density/high effective electron temperature plasma may be confined to the upper sub-chamber. Therefore, etch byproducts tend to contact only the lower zone plasma, and do not come into contact with the high effective electron temperature, upper zone plasma. Further, although there will be some electrons present in the ion-ion plasma, these electrons generally have a low Te and therefore will not typically have enough energy to cause the byproduct dissociation. As such, the etch byproducts are not dissociated into “sticky” problem-causing compounds.

Wafer Biasing

In certain implementations, the wafer is biased during processing. This is accomplished by applying a bias to the electrostatic chuck used to hold/support the wafer. Because the wafer is exposed to a low Te, low electron density plasma (such as an ion-ion plasma) in the lower sub-chamber, bias may be applied to the chuck in a way that captures/encourages the unique benefits of the ion-ion plasma. Further, the bias may be applied in a manner that avoids the formation of an electron-ion plasma in the lower sub-chamber. For example, the bias may have a frequency and power appropriate to prevent conversion of an ion-ion plasma to an electron-ion plasma.

In certain embodiments, the RF bias may have a frequency below 30 MHz, preferably between about 100 kHz to about 13.56 MHz, to reduce the amount of electron heating generated by the application of bias power to the substrate. In some embodiments, the bias (regardless of frequency) is pulsed in the range of about 1 Hz to about 10 kHz with a duty cycle of between about 1% and 99%.

In conventional electron-ion plasmas, the plasma potential is fairly high and positive, as described above. This plasma potential effectively limits the ability of electrons to escape the plasma. However, the lower zone plasma typically has an unconventionally low electron density and temperature and therefore requires a much lower plasma potential to effectively confine its electrons. The low plasma potential opens the operating window, optionally allowing negative ions present in the ion-ion plasma to accelerate towards and strike the wafer during the bias waveforms' positive cycle. This etching regime was previously unobtainable in continuous wave plasmas.

The frequency of the bias applied to the electrostatic chuck may be designed to optimize the formation and attraction of ions (particularly but not exclusively negative ions) in an ion-ion plasma. In this regard, the frequency of the bias applied to the electrostatic chuck is between about 0.1-15 MHz (e.g., between about 400 kHz-13.56 MHz). In a particular example, the bias is about 8 MHz. This frequency may be particularly useful, as it corresponds to the ion transport frequency. Other frequencies may also be used, but may be less effective. For example, frequencies between about 100 kHz-1 MHz may work to some extent, but may be less effective than the higher frequencies cited above.

It should be noted that where a grid is used and an AC bias of appropriate frequency is applied to the electrostatic chuck/wafer, the plasma sheath over the wafer can operate to alternatively pull negative ions and positive ions out of the plasma and accelerate them towards the face of the wafer. In other words, the plasma sheath will attract negative ions in a positive cycle and then positive ions in a negative cycle, and these cycles repeat with the AC bias. As explained above, this negative ion attraction (to the wafer) was not possible before implementation of the present embodiments because the plasma potential was too high, thereby drowning out any attractive effect from the relevant half of the AC bias cycles.

As mentioned, the bias may be applied in pulses. However, pulsing is not needed for many cases. The present embodiments achieve a stable ion-ion plasma above the wafer during the entire etching process. As such, the bias on the chuck/wafer does not need to be pulsed to achieve the benefits described herein. However, in certain embodiments, the bias may nevertheless be applied in pulses, such as to reduce the etch rate or the amount of ion bombardment of the substrate to increase the selectivity of the etch to the under-layer. Bias pulsing in ion-ion plasmas can be particularly beneficial by enhancing selectivity when alternating between ions and radicals. In other words, pulsing may partition the flux of ions and radicals to the substrate surface (pulse on: radicals+ions−pulse off: radicals only).

Process/Applications

The apparatus and plasma conditions disclosed herein may be used to etch any of a variety materials such as silicon (including polycrystalline, amorphous, single crystal, and/or microcrystalline silicon), metals (including but not limited to TiN, W, TaN, etc), oxides and nitrides (including but not limited to SiO, SiOC, SiN, SiON, etc.), organics (including but not limited to photoresists, amorphous carbon, etc), and a variety of other materials including, but not limited to, W, Pt, Ir, PtMn, PdCo, Co, CoFeB, CoFe, NiFe, W, Ag, Cu, Mo, TaSn, Ge2Sb2Te2, InSbTe Ag—Ge—S, Cu—Te—S, IrMn, Ru. The concept can be extended to materials like NiOx, SrTiOx, perovskite (CaTiO3), PrCAMnO3, PZT (PbZr1-xTixO3), (SrBiTa)O3, and the like. The apparatus can be used with any gas combination that is available in a present day fabrication facility (including HBr, CO, NH3, CH3OH, and the like).

The apparatus and plasma conditions disclosed herein may be employed to etch features in devices or other structures at any technology node. In some embodiments, the etch is used during fabrication of in the 20-10 nm nodes or beyond. Etching can before both front end of line fabrication procedures and back end of line fabrication procedures. The etching may provide superior vertical profile, material selectivity, VD loading, and/or wafer center to edge uniformity of better than about 2%. A few examples of suitable etch applications include shallow trench isolation, gate etch, spacer etch, source/drain recess etch, oxide recess, and hard-mask open etch.

Apparatus

The methods described herein may be performed by any suitable apparatus. A suitable apparatus includes a chamber and electronic hardware for providing and maintaining etching conditions as described herein. Suitable apparatus will also include a system controller having instructions for controlling the hardware to achieve these conditions and for performing a sequence of process operations appropriate for applications such as etching a gate electrode of an FET. In some embodiments, the hardware may include one or more process stations included in a process tool.

Returning to FIG. 1, a cross-sectional view of an inductively coupled plasma etching apparatus 100 in accordance with certain embodiments is shown. As mentioned previously, the embodiments herein may be practiced with non-inductively coupled plasmas, as well. The inductively coupled plasma etching apparatus 100 includes an overall etching chamber structurally defined by chamber walls 101 and a window 111. The chamber walls 101 are typically fabricated from stainless steel or aluminum. The window 111 is typically fabricated from quartz or other dielectric material. An internal plasma grid 150 divides the overall etching chamber into an upper sub-chamber 102 and a lower sub-chamber 103. In certain other implementations, a more complex plasma grid assembly is used. For example, the plasma grid assembly may include multiple grids, as well as support structures and movement causing elements, as illustrated in FIGS. 4 and 5. Returning to the embodiment of FIG. 1, a chuck 117 is positioned within the lower sub-chamber 103 near the bottom inner surface. The chuck 117 is configured to receive and hold a semiconductor wafer (i.e., “wafer”) 119 upon which the etching process is performed. The chuck 117 can be an electrostatic chuck for supporting the wafer when present. In some embodiments, an edge ring (not shown) surrounds chuck 117, and has an upper surface that is approximately planar with a top surface of a wafer, when present over chuck 117. The chuck 117 also includes electrostatic electrodes to enable the chucking and dechucking of the wafer. A filter and a DC clamp power supply may be provided for this purpose. Other control systems for lifting the wafer off of the chuck 117 can also be provided. The chuck 117 can be electrically charged using an RF power supply 123. The RF power supply 123 is connected to matching circuitry 121 through a connection 127. The matching circuitry 121 is connected to the chuck 117 through a connection 125. In this manner, the RF power supply 123 is connected to the chuck 117.

A coil 133 is positioned above the window 111. The coil 133 is fabricated from an electrically conductive material and includes at least one complete turn. The exemplary coil 133 shown in FIG. 1 includes three turns. The cross-sections of coil 133 symbols having an “X” indicate that the coil 133 extends rotationally into the page. Conversely, the coil 133 symbols having a “•” indicate that the coil 133 extends rotationally out of the page. An RF power supply 141 is configured to supply RF power to the coil 133. In general, the RF power supply 141 is connected to matching circuitry 139 through a connection 145. The matching circuitry 139 is connected to the coil 133 through a connection 143. In this manner, the RF power supply 141 is connected to the coil 133. An optional Faraday shield 149 is positioned between the coil 133 and the window 111. The Faraday shield 149 is maintained in a spaced apart relationship relative to the coil 133. The Faraday shield 149 is disposed immediately above the window 111. The coil 133, the Faraday shield 149, and the window 111 are each configured to be substantially parallel to one another. The Faraday shield may prevent metal or other species from depositing on the dielectric window of the plasma chamber.

Process gases may be supplied through a main injection port 160 positioned in the upper chamber and/or through a side injection port 170, sometimes referred to as an STG. Gas exhaust ports are not shown. Also not shown are pumps connected to the chamber 101 to enable vacuum control and removal of gaseous byproducts from the chamber during operational plasma processing.

During operation of the apparatus, one or more reactant gases may be supplied through the injection ports 160 and/or 170. In certain embodiments, gas may be supplied only through the main injection port, or only through the side injection port. In some cases, the injection ports may be replaced by showerheads. The Faraday shield 149 and/or grid 150 may include internal channels and holes that allow delivery of process gas to the chamber. In other words, either or both of Faraday shield 149 and grid 150 may serve as a showerhead for delivery of process gas.

Radiofrequency power is applied from the RF power supply 141 to the coil 133 to cause an RF current to flow through the coil 133. The RF current flowing through the coil 133 generates an electromagnetic field about the coil 133. The electromagnetic field generates an inductive current within the upper sub-chamber 102. The inductive current acts on the gas present in the upper sub-chamber 102 to generate an electron-ion plasma in the upper sub-chamber 102. The internal plasma grid 150 limits the amount of hot electrons in the lower sub-chamber 103. In various embodiments, the apparatus is designed and operated such that the plasma present in the lower sub-chamber is an ion-ion plasma.

Both the upper electron-ion plasma and the lower ion-ion plasma will contain positive ions and negative ions, though the ion-ion plasma will have a greater ratio of negative ions:positive ions. The physical and chemical interactions of the various ions and radicals with the wafer 119 selectively etch features of the wafer. Volatile etching byproducts are removed from the lower sub-chamber through an exhaust port (not shown). Importantly, these volatile byproducts are not substantially exposed to hot electrons, and therefore they are not likely to be dissociated into non-volatile “sticky” dissociation products.

Typically, the chuck disclosed herein operates at elevated temperatures ranging between about 30° Celsius and about 250° Celsius, preferably between about 30-150° Celsius. The temperature will depend on the etching process operation and specific recipe. The chamber 101 will also operate at pressures in the range of between about 1 mTorr and about 95 mTorr, or between about 5-20 mTorr.

Although not shown, chamber 101 is typically coupled to facilities when installed in a clean room, or a fabrication facility. Facilities include plumbing that provide processing gases, vacuum, temperature control, and environmental particle control. These facilities are coupled to chamber 101, when installed in the target fabrication facility. Additionally, chamber 101 may be coupled to a transfer chamber that will enable robotics to transfer semiconductor wafers into and out of chamber 101 using typical automation.

FIGS. 2A-2B and 3A-3D show examples of internal plasma grids in accordance with the embodiments herein. In certain cases, each grid may have slots that extend radially outward or roughly radially outward. In these or other cases, the slots may have a more unusual non-linear shape, as shown in FIGS. 3C-3D. In the embodiment of FIG. 2B, there are three types of slots. Each of the three slot types has a different slot length. The slots shown in FIG. 2B have an aspect ratio that is suitable for creating an ion-ion plasma in the lower sub-chamber, as described above. The slots shown in FIGS. 2A and 3A-3D may not be drawn to scale.

System Controller

In some embodiments, a system controller (which may include one or more physical or logical controllers) controls some or all of the operations of an etching chamber. The system controller may include one or more memory devices and one or more processors. The processor may include a central processing unit (CPU) or computer, analog and/or digital input/output connections, stepper motor controller boards, and other like components. Instructions for implementing appropriate control operations are executed on the processor. These instructions may be stored on the memory devices associated with the controller or they may be provided over a network. In certain embodiments, the system controller executes system control software.

The system control software may include instructions for controlling the timing of application and/or magnitude of any one or more of the following chamber operational conditions: the mixture and/or composition of gases, chamber pressure, chamber temperature, wafer temperature, the bias applied to the wafer, the frequency and power applied to coils or other plasma generation components, wafer position, wafer movement speed, grid position, grid movement speed, and other parameters of a particular process performed by the tool. System control software may be configured in any suitable way. For example, various process tool component subroutines or control objects may be written to control operation of the process tool components necessary to carry out various process tool processes. System control software may be coded in any suitable computer readable programming language.

In some embodiments, system control software includes input/output control (IOC) sequencing instructions for controlling the various parameters described above. For example, each phase of a semiconductor fabrication process may include one or more instructions for execution by the system controller. The instructions for setting process conditions for an etching phase may be included in a corresponding etching recipe phase, for example. In some embodiments, the recipe phases may be sequentially arranged, so that all instructions for a process phase are executed concurrently with that process phase.

Other computer software and/or programs may be employed in some embodiments. Examples of programs or sections of programs for this purpose include a substrate positioning program, a grid assembly positioning program, a process gas composition control program, a pressure control program, a heater control program, and an RF power supply control program.

In some cases, the controllers control gas concentration, wafer movement, grid movement, and/or the power supplied to the coils and/or electrostatic chuck. The controller may control the gas concentration by, for example, opening and closing relevant valves to produce one or more inlet gas streams that provide the necessary reactant(s) at the proper concentration(s). The wafer movement may be controlled by, for example, directing a wafer positioning system to move as desired. The grid movement may be controlled by directing movement causing elements (e.g., a rotational actuator, lifter and/or other movement causing component) to position the grid assembly as desired. In one example the controller directs the rotational actuator to rotate one or more plasma grids to achieve certain plasma conditions (including but not limited to electron temperature, electron density, ion density, positive ion to electron ratio, etc.) in the lower zone plasma. In some implementations, the controller is configured to achieve different plasma conditions over different parts of the wafer (e.g., the plasma conditions may be radially tuned). The power supplied to the coils and/or chuck may be controlled to provide particular RF power levels to create the desired electron-ion plasma in the upper sub-chamber. Further, the controller may be configured to supply power to an electrostatic chuck under conditions such that an electron-ion plasma does not form in the lower sub-chamber. In other words, the controller is configured to maintain an ion-ion plasma (or at least a plasma with a suitably low effective electron temperature and density) in the lower sub-chamber. The controllers may control these or other aspects based on sensor output (e.g., when power, potential, pressure, etc. reach a certain threshold), the timing of an operation (e.g., opening valves at certain times in a process) or based on received instructions from a user.

The various hardware and method embodiments described above may be used in conjunction with lithographic patterning tools or processes, for example, for the fabrication or manufacture of semiconductor devices, displays, LEDs, photovoltaic panels and the like. Typically, though not necessarily, such tools/processes will be used or conducted together in a common fabrication facility.

Lithographic patterning of a film typically comprises some or all of the following steps, each step enabled with a number of possible tools: (1) application of photoresist on a workpiece, e.g., a substrate having a silicon nitride film formed thereon, using a spin-on or spray-on tool; (2) curing of photoresist using a hot plate or furnace or other suitable curing tool; (3) exposing the photoresist to visible or UV or x-ray light with a tool such as a wafer stepper; (4) developing the resist so as to selectively remove resist and thereby pattern it using a tool such as a wet bench or a spray developer; (5) transferring the resist pattern into an underlying film or workpiece by using a dry or plasma-assisted etching tool; and (6) removing the resist using a tool such as an RF or microwave plasma resist stripper. In some embodiments, an ashable hard mask layer (such as an amorphous carbon layer) and another suitable hard mask (such as an antireflective layer) may be deposited prior to applying the photoresist.

It is to be understood that the configurations and/or approaches described herein are exemplary in nature, and that these specific embodiments or examples are not to be considered in a limiting sense, because numerous variations are possible. The specific routines or methods described herein may represent one or more of any number of processing strategies. As such, various acts illustrated may be performed in the sequence illustrated, in other sequences, in parallel, or in some cases omitted. Likewise, the order of the above described processes may be changed.

The subject matter of the present disclosure includes all novel and nonobvious combinations and sub-combinations of the various processes, systems and configurations, and other features, functions, acts, and/or properties disclosed herein, as well as any and all equivalents thereof.

EXPERIMENTAL

Experiments have confirmed that the presently disclosed methods and apparatus provide for improved etching of partially fabricated devices on semiconductor substrates. When using a plasma grid, the etched product shows good selectivity, profile angle, iso/dense loading, and overall etch uniformity.

FIGS. 7A-7B show scanning electron microscope (SEM) images of FinFET structures that have been etched according to a high pressure conventional technique (7A) and according to the present embodiments using a plasma grid (7B). As shown in FIG. 7A, the conventional technique results in significant non-uniformity between the center and edge of the wafer. The I/D loading was large, and there was poor selectivity between the materials. Conversely, as shown in FIG. 7B, the use of the plasma grid substantially increases the center to edge uniformity. Further, the I/D loading was much lower, and the selectivity was improved. This experiment was performed on a Si carrier wafer thinned to a thickness representative of the FinFET height and covered with 50% SiN coupons to simulate the etch of a full-patterned wafer. The FinFET structures was over-etched by 65% to minimize the taper in the profile.

FIGS. 8A-8B show SEM images of features etched according to a low pressure conventional technique (8A) and according to the present embodiments using a plasma grid (8B). The conventional technique showed relatively poor selectivity between the silicon and oxide, the etched features had a tapered profile, and the I/D loading was poor. As shown in FIG. 8B, however, the source grid provided improved selectivity (infinite selectivity), a more vertical profile angle, and virtually no VD loading. This experiment was performed on a chip cleaved from a patterned wafer and placed on the center of a carrier wafer. This experiment was performed on a Si carrier wafer thinned to a thickness representative of the FinFET height and covered with 50% SiN coupons to simulate the etch of a full-patterned wafer.

FIG. 9 shows various SEM images of features that have been etched according to various regimes without the use of a plasma grid. Two different pressures were used, as well as four different total flow rates. The effective electron temperature (Te) decreases with increasing pressure. Residence time decreases with increasing total flow rate. For each pressure, increasing the total flow rate improves the etch results. In particular, the high flow cases show better (more vertical) profile angles and improved selectivity (more mask remaining). However, these improvements are mitigated by poorer VD loading and center to edge uniformity. The results at high flow rates support the belief that certain byproducts and/or dissociation products, when not swept away in gaseous form, may be adhering to feature sidewalls and/or bottoms to produce poor etching results, as illustrated in FIGS. 6A-6C. When the total flow rate is higher, these byproducts are more effectively swept out of the reaction chamber and are less likely to cause etching defects.

Various experiments showed that the use of the plasma grid resulted in an etching process with very good selectivity, profile angle, VD loading, and center to edge uniformity. In certain cases, the selectivity (i.e., the etch rate of Si:etch rate of oxide) is greater than about 10, or greater than about 100. In fact, infinite selectivity may be achieved using the plasma grid in certain cases. In these cases, there is virtually no etching of the oxide material, and there may even be a small amount of deposition on the oxide surface. The profile angle achieved in many cases is substantially vertical (e.g., over about 89°). In certain implementations, the I/D loading was shown to be below about 2°. Further, the center to edge uniformity in various implementations was less than about 2 nm.

Claims

1. An apparatus for etching a feature on a substrate, the apparatus comprising: a grid assembly dividing the interior of the plasma chamber into an upper sub-chamber proximate the plasma generator and a lower sub-chamber proximate the substrate holder; and

a chamber defining an interior where a plasma can be provided;
a substrate holder for holding a substrate in the chamber during etching;
a plasma generator for producing a plasma within the chamber; and
a controller configured to produce the plasma in the chamber under conditions that use the grid assembly to produce an upper zone plasma in the upper sub-chamber and a lower zone plasma in the lower sub-chamber, the lower zone plasma being an ion-ion plasma,
wherein the grid assembly comprises at least a first grid and a second grid, each grid comprising a plurality of slots that substantially prevent formation of induced current in the grid when the plasma is produced within the chamber, wherein at least one of the plurality of slots in at least one of the first and second grids in the grid assembly has a height to width aspect ratio between about 0.5-1.

2. The apparatus of claim 1, wherein the controller is configured to produce the upper zone plasma and the lower zone plasma such that

(i) the effective electron temperature in the lower zone plasma is about 1 eV or less, and is less than the effective electron temperature in the upper zone plasma, and
(ii) the electron density in the lower zone plasma is about 5×109 cm−3 or less, and is less than the electron density in the upper zone plasma.

3. The apparatus of claim 1, wherein the grid assembly can be raised or lowered with respect to the plasma generator.

4. The apparatus of claim 1, wherein at least one grid of the grid assembly has an average thickness of between about 1 and 50 mm.

5. The apparatus of claim 1, wherein the slots are separated from azimuthally adjacent slots by no more than about 60 degrees.

6. The apparatus of claim 1, wherein the first and second grids have substantially identical slot patterns.

7. The apparatus of claim 1, wherein the first and second grids have slot patterns that are different from one another.

8. The apparatus of claim 1, wherein at least one of the first and second grids is rotatable about an axis normal to an upper surface of the substrate holder.

9. The apparatus of claim 8, wherein the first grid and second grid have slot patterns allowing plasma conditions in the lower sub-chamber to be radially tuned.

10. The apparatus of claim 1, wherein at least one of the first and second grid is movable such that the distance between the first grid and second grid is variable.

11. The apparatus of claim 1, wherein at least one grid of the grid assembly comprises one or more inlets for gas delivery.

12. The apparatus of claim 1,

wherein at least one of the grids comprises a central region, a middle region surrounding the central region, an outer region surrounding the middle region, and a peripheral region surrounding the outer region,
wherein the slots in the at least one of the grids comprise a first slot shape, a second slot shape, and a third slot shape,
wherein the first slot shape extends radially outward from the central region to the peripheral region of the grid,
wherein the second slot shape extends radially outward from the middle region to the peripheral region of the grid, and
wherein the third slot shape extends radially outward from the outer region to the peripheral region of the grid.

13. The apparatus of claim 1, wherein the aspect ratio of each slot is constant throughout the slot.

14. The apparatus of claim 1, wherein the controller is configured to produce the lower zone plasma such that a ratio of negative ions to positive ions in the lower zone plasma is between about 0.5-1.

15. The apparatus of claim 1, wherein the controller is configured to produce the lower zone plasma such that a ratio of positive ions to electrons in the lower zone plasma is about 2 or greater.

16. The apparatus of claim 15, wherein the controller is configured to produce the lower zone plasma and the upper zone plasma such that the ratio of positive ions to electrons in the lower zone plasma is at least about 2 times as great as a ratio of positive ions to electrons in the upper zone plasma.

17. The apparatus of claim 1, wherein the controller is configured to produce the lower zone plasma and the upper zone plasma such that (i) a plasma potential in the upper zone plasma is between about 8-35V, (ii) a plasma potential in the lower zone plasma is between about 0.4-10V, and (iii) the plasma potential in the upper zone plasma is greater than the plasma potential in the lower zone plasma.

18. The apparatus of claim 2, wherein the controller is configured to produce the upper zone plasma and the lower zone plasma such that (iii) the effective electron temperature in the upper zone plasma is at least about 2 times the effective electron temperature in the lower zone plasma, and (iv) the electron density in the upper zone plasma is at least about 10 times the electron density of the lower zone plasma.

19. The apparatus of claim 1, wherein the slots in the first and second grids extend roughly radially outwards.

20. The apparatus of claim 12, wherein the aspect ratio of each slot is constant throughout the slot.

Patent History
Publication number: 20160181130
Type: Application
Filed: Feb 26, 2016
Publication Date: Jun 23, 2016
Inventors: Harmeet Singh (Fremont, CA), Thorsten Lill (Santa Clara, CA), Vahid Vahedi (Albany, CA), Alex Paterson (San Jose, CA), Monica Titus (Sunnyvale, CA), Gowri Kamarthy (Pleasanton, CA)
Application Number: 15/055,380
Classifications
International Classification: H01L 21/67 (20060101); H01J 37/32 (20060101);