EMBEDDED NON-VOLATILE MEMORY DEVICE AND FABRICATION METHOD OF THE SAME

Systems and methods of forming such include method, forming a memory gate (MG) stack in a first region, forming a sacrificial polysilicon gate on a high-k dielectric in a second region, wherein the first and second regions are disposed in a single substrate. Then a select gate (SG) may be formed adjacent to the MG stack in the first region of the semiconductor substrate. The sacrificial polysilicon gate may be replaced with a metal gate to form a logic field effect transistor (FET) in the second region. The surfaces of the substrate in the first region and the second region are substantially co-planar.

Skip to: Description  ·  Claims  · Patent History  ·  Patent History
Description
CROSS-REFERENCE TO RELATED APPLICATIONS

This present application is a continuation of U.S. Non-Provisional application Ser. No. 15/848,439, filed on Dec. 20, 2017, which claims the priority and benefit of U.S. Provisional Application No. 62/534,512 filed on Jul. 19, 2017, the entire contents of which are hereby incorporated by reference.

BACKGROUND

Non-volatile memory (NVM) cells, such as flash memory cells, store data in computer memory systems. NVM cells may be formed on semiconductor substrates and include a number of transistors to provide memory functions and logic functions.

BRIEF DESCRIPTION OF THE DRAWINGS

The described embodiments and the advantages thereof may best be understood by reference to the following description taken in conjunction with the accompanying drawings. These drawings in no way limit any changes in form and detail that may be made to the described embodiments by one skilled in the art without departing from the spirit and scope of the described embodiments.

FIG. 1 is a diagram of an example integrated split-gate non-volatile memory cell, according to some aspects of the disclosure.

FIG. 2 is an example embedded split-gate NVM device during a portion of fabrication of the device, according to some aspects of the disclosure.

FIG. 3 is an example embedded split-gate NVM device during another portion of fabrication of the device, according to some aspects of the disclosure.

FIG. 4 is an example embedded split-gate NVM device during another portion of fabrication of the device, according to some aspects of the disclosure.

FIG. 5 is an example embedded split-gate NVM device during another portion of fabrication of the device, according to some aspects of the disclosure.

FIG. 6 is an example embedded split-gate NVM device during another portion of fabrication of the device, according to some aspects of the disclosure.

FIG. 7 is an example embedded split-gate NVM device during another portion of fabrication of the device, according to some aspects of the disclosure.

FIG. 8 is an example embedded split-gate NVM device during another portion of fabrication of the device, according to some aspects of the disclosure.

FIG. 9 is an example embedded split-gate NVM device during another portion of fabrication of the device, according to some aspects of the disclosure.

FIG. 10 is an example embedded split-gate NVM device during another portion of fabrication of the device, according to some aspects of the disclosure.

FIG. 11 is an example embedded split-gate NVM device during another portion of fabrication of the device, according to some aspects of the disclosure.

FIG. 12 is an example embedded split-gate NVM device during another portion of fabrication of the device, according to some aspects of the disclosure.

FIG. 13 is an example embedded split-gate NVM device during another portion of fabrication of the device, according to some aspects of the disclosure.

FIG. 14 is an example embedded split-gate NVM device during another portion of fabrication of the device, according to some aspects of the disclosure.

FIG. 15 is a flow chart showing an example method for fabricating a split-gate NVM device, according to some aspects of the disclosure.

FIG. 16 is a flow chart showing an example method for fabricating a split-gate NVM device, according to some aspects of the disclosure.

DETAILED DESCRIPTION

A split-gate non-volatile memory (NVM) cell can include a memory gate and a select gate formed on a semiconductor substrate. The split-gate configuration may provide improved size and efficiency characteristics compared to one transistor or two transistor NVM cell configurations. A split-gate memory cell may include a select gate to enable access to data stored in the memory cell and a memory gate that stores the data. In some implementations, the select gate and memory gate may be separated on a semiconductor substrate by one or more inter-gate dielectric layers.

While split-gate memory cells may provide some advantages in certain devices, integrating split-gate memory cells on a semiconductor substrate with certain logic devices may cause difficulties in fabrication. For example, certain advanced logic processes may use a high dielectric constant (high-k) gate dielectric with a metal gate (HKMG) to improve transistor performance and reduce leakage current. Some example high-k materials may include Hafnium Dioxide, Zirconium Dioxide, Titanium Dioxide, or the like. In some implementations, the high-K material may be characterized as having a dielectric constant greater than 2, 3, 3.5, or another value to provide proper functioning of the gate while reducing leakage currents. The HKMG may be fabricated using high-k dielectric material instead of a silicon based gate dielectric, such as silicon dioxide. In some implementations, however, fabrication of HKMG logic gates on the same substrate as a split-gate memory cell may cause shifts in the properties of the logic transistor. Additionally, differences in heights of a split-gate memory cell and a logic transistor may prevent proper fabrication of the memory cell or logic transistors during certain processing steps.

Disclosed herein are semiconductor devices and processes to integrate a split-gate NVM cell with a HKMG. The embedded devices may include a semiconductor substrate, such as Silicon, in a memory cell region of the substrate that is about the same height as the semiconductor substrate in a logic region of the device. For example, the substrate height in the different regions may be within approximately 200 angstroms of one another. The embedded device may also include upper surfaces of memory gates and select gates in the split-gate memory cell that are substantially co-planar. For example, the upper surface of the select gate and memory gate may be within approximately 300 angstroms of one another. Similarly, the upper surfaces of the select gate and memory gate may also be substantially co-planar with the upper surface of the HKMG logic transistor. This may reduce interference during logic gate replacement portions of a fabrication process. In some implementations, a silicide may also be formed on portions of the select gate or memory gate of a split-gate memory cell while silicide is not formed on a dielectric that separates the select gate and the memory gate.

Processes for fabricating a split-gate NVM cell embedded with an HKMG logic transistor may include fabricating the split-gate memory cell in a first region of a semiconductor substrate and a field-effect transistor (FET) with a high-k metal gate in a second region of the semiconductor substrate. Forming the split-gate memory cell may include forming a select gate (SG) and a memory gate (MG) adjacent to the select gate. In some implementations, the SG and MG may be separated by one or more layers of a dielectric. The logic FET may be formed on the same semiconductor substrate with a polysilicon gate, which may then be replaced with a metal gate. The high-k metal gate (HKMG) FET and the split-gate NVM memory cell may thus be formed on a semiconductor substrate having a substantially coplanar upper surface. Accordingly, in some embodiments, the semiconductor substrate may be substantially of similar thickness in both regions. For example, the SG, MG, and FET may be formed without creating a cavity or a recess in the substrate prior to deposition of elements of the gates. Furthermore, the heights of the SG, MG, and FET may be configured such that top surfaces of each of the SG, MG, and FET are substantially co-planar. Additional details of processing to fabricate the embedded split-gate memory device with a high-K metal gate FET are described in details with reference to the Figures below.

FIG. 1 is a block diagram of an example split-gate NVM cell 100. As shown in FIG. 1, the split-gate NVM cell 100 may include a select gate 110 and a memory gate 120. The select gate 110 and memory gate 120 are formed on a semiconductor substrate 130. For example, the semiconductor substrate 130 may be silicon wafer or other substrate material. As shown, the select gate 110 and the memory gate 120 are formed on the substrate 130 without forming a recess in the semiconductor. Accordingly, the semiconductor substrate 130 has a substantially planar topography around the areas where the select gate 110 and the memory gate 120 are formed. A dielectric layer 140 may also be formed on the semiconductor substrate 130 to separate the select gate 110 and the memory gate 120. In some implementations, dielectric layer 140 may include multiple layers of dielectric materials.

The split-gate memory cell 100 as described with reference to FIG. 1 may include additional components and features than are shown. The example split-gate memory cell 100 is meant to show particular features of the memory cell to describe the configuration, and additional components may be used within the memory cell or in the periphery of the memory cell to perform operations. For example, the memory cells as described further below are embedded in a semiconductor device with a high-k metal-gate (HKMG) logic FET. The memory cells as described below also include additional details of the MG 110 and SG 120.

When implemented in a semiconductor device, a number of split-gate devices 100 may be formed in a memory array. The memory array may be accessed with control circuitry to address particular memory cells. For example, row decoders and column decoders may be used to address memory cells based on a command received at control circuitry. Furthermore, sense amplifiers and word line or bit line drivers may be used to apply current to an addressed split-gate memory device and sense data stored in a memory gate 110 of the device.

FIG. 2 is a diagram of an example embedded split-gate NVM device 200 during a portion of fabrication of the device. In FIG. 2, the basis of the embedded split-gate NVM device 200 is formed on a semiconductor substrate 220. For example, an oxide-nitride-oxide (ONO) stack 210 may be formed at least in a memory region 225 of the semiconductor substrate 230. In some implementations, the ONO stack 210 may be formed both in the memory region 225 and periphery region or a logic FET region 227, and later removed from the periphery region and the logic FET region. In some implementations, other structures than an oxide-nitride-oxide may be formed on the semiconductor substrate. For example, the oxide-nitride-oxide stack 210 may further be formed with a top and bottom silicon layer in some implementations.

The semiconductor substrate 220 may also include a logic FET region 227 that is separate from the memory region 225. In one embodiment, memory and logic FET regions 225 and 227 may be disposed adjacent to one another. In other embodiments, they may be disposed in different parts of the single semiconductor substrate 220. For example, the logic FET region 227 may be formed later in fabrication to include a high-k metal gate FET or other control circuitry for accessing memory cells in the memory region 225. In some implementations, the logic FET region 227 may also include other components or features in addition to a high-k metal gate FET. In one embodiment, semiconductor substrate 220 may have a relatively flat surface both in the memory region 225 and the logic FET region 227. The substrate 220 surfaces in the memory region and the logic FET region 227 are substantially co-planar, or are within 200 Angstrom of co-planar of one another.

In some implementations a memory gate polysilicon film 230 may be deposited on top of the oxide-nitride-oxide stack 210. As shown, the memory gate polysilicon film 230 may be deposited across the surface of the semiconductor substrate 220 including the logic FET region 227 and the memory region 225. A dielectric film 240 may be deposited on top of the memory gate polysilicon film 230 to act as a cap layer for the memory gate. In some implementations, the dielectric film may be about 20 Angstrom to about 500 Angstrom thick. In some implementations, a second polysilicon film 250 may also be deposited on top of the dielectric film 240 as an additional portion of the cap layer.

FIG. 3 is a diagram of an example embedded split-gate NVM device 200 during another portion of fabrication of the device. In FIG. 3, the memory gate polysilicon film 230, dielectric film 240 and optional second polysilicon layer 250 have been patterned to the shape of memory gates 260. For example, the device 200 may have had a mask (not shown in FIG. 3) applied to form a pattern using lithography. The mask may protect portions of the memory gate polysilicon film 230 while etching is performed on the device 200. Depending on the implementation, the etching may be a wet etch, a dry etch, or a combination of wet etching and dry etching. The etching process may remove portions of the memory gate polysilicon film 230 that are not protected by the mask. The remaining portions of the memory gate polysilicon film 230 may form the memory gates 260. In some implementations, the memory gates 260 may be formed or patterned on a semiconductor substrate 220 in a different manner than lithography patterning and etching. In one embodiment, the etching process may stop at the bottom oxide layer of the ONO stack 210 in the memory region 225, or another layer.

FIG. 4 is a diagram of an example embedded split-gate NVM device 200 during another portion of fabrication of the device. In FIG. 4, a dielectric film 270 is deposited at least on the two lateral sides of the memory gates 260. The dielectric film 270 may eventually be formed as an inter-gate dielectric to separate the memory gates 260 from the later formed select gates in the split-gate memory device 200. In some implementations, the dielectric film 270 may include multiple layers of potentially different dielectric materials. For example, the dielectric film 270 may be formed by multiple deposition or oxidation processes to apply different dielectrics to the sides of the memory gates 260. The dielectric film 270 may also be applied to materials in the logic FET region 270 of the semiconductor substrate 220. In one embodiment, the dielectric film 270 includes at least two dielectric layers.

FIG. 5 is a diagram of an example embedded split-gate NVM device 200 during another portion of fabrication of the device. In FIG. 5, a select gate polysilicon film 280 is deposited on the split-gate memory device 200. The select gate polysilicon film 280 may be deposited across the semiconductor substrate 220 including the memory region 225 and the logic FET region 227. In some implementations, the select gate polysilicon film 280 may be similar to the memory gate polysilicon film 250 described above. For example, in some embodiments, the select gate polysilicon film and the memory gate polysilicon film may each be un-doped polysilicon films. In some embodiments, one or both of the memory gate polysilicon film 230 or the select gate polysilicon film 280 may be a doped polysilicon film. In the case that either of the polysilicon films 230 or 280 is deposited un-doped, it may be doped with n-type or p-type dopants at a later stage of processing. In some implementations, the select gate polysilicon film 280 may be doped in a different manner than the memory gate polysilicon film 230 to provide different electrical characteristics. A select gate dielectric may also be formed underneath and before the select gate polysilicon film 280 is deposited.

FIG. 6 is a diagram of an example embedded split-gate NVM device 200 during another portion of fabrication of the device. In FIG. 6 portions of the select gate polysilicon film 280 have been removed from the split-gate NVM device 200. For example, portions of the select gate polysilicon film 280 may be removed using a planarizing process. In addition, if the optional second polysilicon layer 250 was applied previously, portions of it may be removed during the planarizing process. In some implementations, a chemical-mechanical planarization (CMP) process may be used to planarize the layers including the select gate polysilicon film 280 and the optional second polysilicon layer 250. For example, in some embodiments, the CMP process may planarize the films to a level of the dielectric film 240. As shown the remaining portions of dielectric film 240 on the memory gate polysilicon film 230 may cause the remaining memory gate 260 to have a slightly lower top surface compared to the select gate polysilicon film 280. In some implementations, other processes may be used to form a flat upper surface as shown in the FIG. 6. Furthermore, as shown in FIG. 8, the process may be applied to both a memory region 225 and a logic FET region 227. After the CMP process, or other planarizing process, the memory gate 260 and the select gate polysilicon film 280 may have upper surfaces that are substantially co-planar, or are within 300 Angstrom of co-planar of one another. In addition, the memory gate stack (memory gate 260 and ONO stack 210) and the select gate stack (select gate polysilicon film 280 and select gate dielectric) may be of substantially similar height, or within 300 Angstrom of difference in height. In one embodiment, neither the memory gate stack nor the select gate stack is formed in a recessed portion of the semiconductor substrate 220.

FIG. 7 is a diagram of an example embedded split-gate NVM device 200 during another portion of fabrication of the device. In FIG. 7, formerly formed layers including the memory gate polysilicon film 230 and the select gate polysilicon film 280 have been removed from the logic FET region 227 of the semiconductor substrate 220. In addition, functional portions of an eventual FET device 290 may be formed in the logic FET region 227. For example, a FET gate dielectric 292 and sacrificial polysilicon gate 294 may be formed on the logic FET region 227. In one embodiment, FET gate dielectric 292 may include high-K material including but not limited to Hafnium Dioxide, Zirconium Dioxide, Titanium Dioxide, or the like. Optionally, a gate hardmask 296 may be formed overlying the sacrificial polysilicon gate 294. The FET device 290 may be formed to have a height substantially similar to the height of the select gate stack and the memory gate stack. In some embodiments, after removal of memory gate polysilicon film 230 from the logic FET region 227, a high-K gate dielectric layer (that become gate dielectric 292) and a sacrificial polysilicon layer (that will become sacrificial polysilicon gate 294) may be deposited across the logic FET region 227 of the semiconductor substrate 220. Those layers may then be patterned and etched to provide a basis of FET device 290 that includes a gate dielectric 292 and a sacrificial polysilicon layer 294. In some embodiments, the FET device 290 may be of similar height of the select gate polysilicon layer 280 or memory gate 260. In some embodiments, a gate hardmask 296 may also be deposited and etched on top of the FET device 290.

FIG. 8 is a diagram of an example embedded split-gate NVM device 200 during another portion of fabrication of the device. In FIG. 8, a dielectric film 310 is deposited over portions of the memory region 225 and the logic FET region 227. The dielectric film 310 may be SiO2, SiN, SiRN, SiON, or the like. The dielectric layer 310 may act as a hardmask for additional etching processes described below. In some implementations, the dielectric layer 310 may form part of the logic FET 290, for example the eventual spacer of logic FET 290. Furthermore, as shown in FIG. 8, the dielectric layer 310 may be patterned to only cover portions of the split-gate memory device 200 using lithography and etching.

FIG. 9 is a diagram of an example embedded split-gate NVM device 200 during another portion of fabrication of the device. In FIG. 9, etching has been performed to remove portions of the select gate polysilicon film 280 on one lateral side of memory gate 260, such as the source side of split-gate memory cell. In various embodiments described herein, the etching may be a wet etching, a dry etching process, or a combination thereof. For example, after the etching process, region 320 may be open to separate different memory gates 260. In some implementations, the region 320 may be opened using different processes than etching. The etching may remove portions of the select gate polysilicon film 280 at source regions of the split-gate memory devices. In one embodiment, a wet etch may be used to achieve high etch selectivity between the select gate polysilicon film 280 and various dielectric films (for example, dielectric film 310). In some implementations, Tetramethylammonium hydroxide (TMAH) may be used as an exemplary wet etch chemical. The memory gate 260 and the logic FET 290 may be encapsulated by dielectric material 310 as shown in FIG. 9 to protect portions of select gate polysilicon film 280, logic FET 290 and the memory gate 260 during the etching process.

FIG. 10 is a diagram of an example embedded split-gate NVM device 200 during another portion of fabrication of the device. In FIG. 10, etching has been performed to pattern portions of the select gate polysilicon film 280 on another lateral side of memory gate 260, such as the drain side of the split-gate memory cell. As described above, in various embodiments, the etching may be dry etching or wet etching and may be performed in different orders than described. The etching may remove additional portions of the select gate polysilicon film 280 to form patterned select gates 280 as shown in FIG. 10. In one embodiment, the removing of select gate polysilicon film 280, as described in FIG. 9, and the patterning of select gate polysilicon film 280 to form select gate 280, as described in FIG. 10, may be performed concurrently. In another embodiment, they may be performed individually. Although shown having a memory array having common source lines to be formed between adjacent memory gates 260, in some embodiments, a split-gate memory arrays may be formed with memory cells having select gates 280 on either sides of memory gates 260 throughout. In such cases, the formation of memory gates 260 and select gates 280 may be formed using the techniques described herein, but with different patterning of dielectric film 310 for particular polysilicon layers.

FIG. 11 is a diagram of an example embedded split-gate NVM device 200 during another portion of fabrication of the device. Logic FET spacers 340 and split-gate source or drain spacers 330 are formed on the semiconductor substrate 220. Logic FET spacers 340 and split-gate source or drain spacers 330 may be formed concurrently or individually. In one embodiment, source and drain implants (not shown in this figure), such as lightly doped drain (LDD) or source/drain implants, may be formed in substrate 220 besides or underneath logic FET spacers 340 and split-gate source or drain spacers 330.

FIG. 12 is a diagram of an example embedded split-gate NVM device 200 during another portion of fabrication of the device. In FIG. 12, silicide 350 is formed overlaying source and drain regions of logic FET 290 and split-gate memory devices. In one embodiment, silicide 350 is formed on an upper surface of select gates 280. In some implementations, the silicide 350 may be formed on an upper surface of the memory gates 260 instead of or in addition to being formed on the select gates 280. Furthermore, silicide formation may be prevented in regions between the select gates 280 and the memory gates 260 by a dielectric film.

FIG. 13 is a diagram of an example embedded split-gate NVM device 200 during another portion of fabrication of the device. In FIG. 13 an interlayer dielectric (ILD) has been deposited over and around the select gates 280, memory gates 260, and logic FET 290. In some embodiments, the ILD layer may be an oxide layer, or it may be a different form of dielectric. The ILD layer 360 may subsequently be planarized to provide a level upper surface between the select gates 280, memory gates 260, and the logic FET 290. In some embodiments, a CMP process may stop when FET hardmask 296 of the logic FET device 290 is exposed. The CMP process may also proceed to expose the sacrificial polysilicon gate 294 of the logic FET device 290 instead. In one embodiment, the CMP process of the ILD layer 360 may configure the upper surfaces of memory gate 260, select gate 280, and logic FET 290 to be substantially co-planar, or within 300 Angstrom of co-planar.

FIG. 14 is a diagram of an example embedded split-gate NVM device 200 during another portion of fabrication of the device. In FIG. 14, a protective mask 370 has been applied to memory region 225 of the split-gate NVM device 200. In one embodiment, the protective mask 370 may include but not limited to, silicon oxide, silicon nitride, or silicon carbon nitride. The protective mask 370 may protect the split-gate memory cells including memory gates 260 and select gates 280. The logic FET region 227 may not be covered by the protective mask 370. For example, the protective mask 370 may be removed from the logic FET region 227. After masking the memory region 225 of the substrate 220, an etching (dry etching or wet etching) process may be performed to remove the sacrificial polysilicon gate 294 (and any hardmask 296 remaining on the sacrificial polysilicon gate 294). A metal gate material 380 may then be deposited overlying FET gate dielectric 292 in the logic FET region 227 to replace the sacrificial polysilicon gate 294 that was previously forming the gate of the FET device 290. After deposition of the metal gate material 380, the split-gate memory device 200 may be complete with select gates 280 and memory gates 260 forming a split-gate memory cell integrated with the high-k metal gate (HKMG) logic FET 390. In one embodiment, metal gate material 380 may include but not limited to tungsten, aluminum, TiN, TiAl, or other metal metals, alloys, or composits. After metal gate material 380 is formed, optionally, a metal polish process may be performed to planarize an upper surface of the HKMG logic FET 390.

FIG. 15 is a flow chart showing an example method 400 for fabricating a split-gate NVM device as described above. For example, the method 400 may be implemented to fabricate split-gate memory device 200 as shown in FIGS. 2-14 and described above.

Beginning in block 410, a memory gate may be formed in a first region of a semiconductor substrate. For example, a memory gate may be formed as described with reference to FIGS. 2-4 above by depositing a polysilicon film and removing portions of the film using lithography and etching. In some implementations, the memory gate may be formed in a different manner.

In block 420, a logic field-effect transistor is formed in a second (logic FET) region of the semiconductor substrates. The logic FET may have a high-K dielectric and is formed temporarily with a polysilicon gate. For example, the logic FET may be formed as described with reference to FIG. 7 above.

In block 430, a select gate is formed adjacent to the memory gate in the first (memory) region of the semiconductor substrate. For example, the select gate may be formed by depositing a polysilicon film, etching back the polysilicon film such that the memory gate and select gate are substantially coplanar, and patterning the film to remove portions of the select gate. In some implementations, the select gate may be formed as describe above with respect to FIGS. 5-10.

In block 440 the polysilicon gate of the logic FET is replaced with a metal gate. After replacing the metal gate, devices formed in the memory region and in the logic FET region may be substantially co-planar. The height at which they are coplanar after a CMP process may expose either a hardmask on the sacrificial polysilicon gate or the sacrificial polysilicon gate itself. The sacrificial polysilicon gate may then be etched away with either a wet etching or dry etching process after patterning of a protective layer to protect the memory region. The metal gate may then be formed where the sacrificial polysilicon gate was removed. In some implementations, the metal may replace the polysilicon gate as described with reference to FIG. 14 above.

FIG. 16 is a flow chart showing an example method for fabricating a split-gate NVM device as described above. For example, the method 400 may be implemented to fabricate split-gate memory device 200 as shown in FIGS. 2-10 and described above. Beginning in block 510, a polysilicon memory gate layer may be deposited on a semiconductor substrate on top of a charge-storage film in a first region of the semiconductor substrate. The polysilicon memory gate layer is then patterned and etched to form a memory gate. For example, the memory gate may be deposited and formed as described with reference to FIGS. 2-4 above.

In block 520 a select gate oxide may be formed underneath a polysilicon select gate layer. For example, the polysilicon select gate layer may be deposited as described above with reference to FIG. 5. In some implementations, a select gate may be formed with other components or features than as shown in the figures.

In block 530, the polysilicon select gate layer may be leveled such that top surface of the polysilicon select gate layer is substantially coplanar with the top surface of the memory gate. For example, the polysilicon select gate layer may be leveled using a CMP process, or another process for developing a consistent plane on the surface of the device. In some implementations, the leveling or planarizing may be performed as described with reference to FIG. 6 above.

In block 540, the planarized/leveled polysilicon select gate layer may be etched to remove portions of the polysilicon select gate layer disposed between two memory gates, for example on a source side of the split-gate memory cell. In some implementations, Tetramethylammonium hydroxide (TMAH) may be used as an exemplary wet etch chemical. For example, the wet etching or dry etching may be performed as discussed above with reference to FIG. 9.

In block 550, additional portions of the polysilicon select gate layer may be removed to form the select gate. In one embodiment, the select gate may be formed adjacent to the memory gate on a drain side of the split-gate memory cell. For example, a polysilicon select gate layer may be removed with a lithography and etching process, such as dry etching or wet etching processes. In some implementations, the polysilicon select gate film may be removed as described with reference to FIG. 10 above.

Additionally, some embodiments may be practiced in distributed computing environments where the machine-readable medium is stored on and or executed by more than one computer system. In addition, the information transferred between computer systems may either be pulled or pushed across the communication medium connecting the computer systems.

Although the operations of the methods herein are shown and described in a particular order, the order of the operations of each method may be altered so that certain operations may be performed in an inverse order or so that certain operation may be performed, at least in part, concurrently with other operations. In another embodiment, instructions or sub-operations of distinct operations may be in an intermittent and or alternating manner. The terms “first,” “second,” “third,” “fourth,” etc. as used herein are meant as labels to distinguish among different elements and may not necessarily have an ordinal meaning according to their numerical designation. As used herein, the term “coupled” may mean connected directly or indirectly through one or more intervening components. Any of the signals provided over various buses described herein may be time multiplexed with other signals and provided over one or more common on-die buses. Additionally, the interconnection and interfaces between circuit components or blocks may be shown as buses or as single signal lines. Each of the buses may alternatively be one or more single signal lines and each of the single signal lines may alternatively be buses.

The above description sets forth numerous specific details such as examples of specific systems, components, methods, and so forth, in order to provide an understanding of several embodiments of the present invention. It may be apparent to one skilled in the art, however, that at least some embodiments of the present invention may be practiced without these specific details. In other instances, well-known components or methods are not described in detail or are presented in simple block diagram format in order to avoid unnecessarily obscuring the present invention. Thus, the specific details set forth are merely exemplary. Particular implementations may vary from these exemplary details and still be contemplated to be within the scope of the present invention.

Embodiments of the claimed subject matter include, but are not limited to, various operations described herein. These operations may be performed by hardware components, software, firmware, or a combination thereof.

The above description sets forth numerous specific details such as examples of specific systems, components, methods, and so forth, in order to provide an understanding of several embodiments of the claimed subject matter. It may be apparent to one skilled in the art, however, that at least some embodiments of the may be practiced without these specific details. In other instances, well-known components or methods are not described in detail or are presented in simple block diagram format. Thus, the specific details set forth are merely exemplary. Particular implementations may vary from these exemplary details and still be contemplated to be within the scope of the claimed subject matter.

Claims

1-20. (canceled)

21. A semiconductor device, comprising:

a memory cell in a first region of a substrate, the memory cell including a polysilicon memory gate (MG) overlying a charge trapping layer; and
a logic field-effect transistor (FET) including a metal gate disposed over a high-k dielectric in a second region of the substrate,
wherein the MG of the memory cell and the metal gate of the logic FET have substantially a same height.

22. The semiconductor device of claim 21 wherein the memory cell is a split-gate memory cell further comprising a polysilicon select gate (SG) overlying a SG oxide layer, the SG formed adjacent to the MG and separated therefrom by an inter-gate dielectric.

23. The semiconductor device of claim 22 wherein top surfaces of the MG, the SG and the metal gate of the logic FET are substantially co-planar.

24. The semiconductor device of claim 23 wherein a first surface of the substrate in the first region and a second surface of the substrate in the second region of the substrate are substantially co-planar.

25. The semiconductor device of claim 23 wherein the inter-gate dielectric extends from the SG oxide layer to the top surfaces of the MG and the SG.

26. The semiconductor device of claim 23 wherein the top surface of at least one of the MG and the SG is silicided.

27. The semiconductor device of claim 23 wherein the top surfaces of the MG and the SG are silicided, and wherein no silicide lies above the inter-gate dielectric that separates the SG and the MG.

28. The semiconductor device of claim 22 further comprising an inter-layer dielectric (ILD) formed over the substrate surrounding the MG, the SG and the metal gate of the logic FET, the ILD planarized to have a top surface substantially co-planar with the top surfaces of the MG, the SG and the metal gate of the logic FET.

29. The semiconductor device of claim 22 wherein the charge trapping layer is a multi-layer charge trapping layer comprising an oxide-nitride-oxide (ONO) stack, and wherein a lower oxide of the ONO stack is formed from an oxide layer that is contiguous with the SG oxide layer.

30. A semiconductor device, comprising:

a plurality of split-gate memory cells in a memory region of a substrate, each split gate memory cell including a polysilicon memory gate (MG) overlying a charge trapping layer, and a polysilicon select gate (SG) overlying a SG oxide layer formed adjacent to the MG and separated therefrom by an inter-gate dielectric; and
a plurality of logic field-effect transistor (FET) in a logic region of the substrate, each logic FET including a metal gate disposed over a high-k dielectric,
wherein top surfaces of each of the MGs, the SGs and the metal gate of the logic FETs are substantially co-planar.

31. The semiconductor device of claim 30 wherein the inter-gate dielectric in each split gate memory cell extends from the SG oxide layer to the top surfaces of the MG and the SG.

32. The semiconductor device of claim 30 wherein the top surfaces of each of the MG and the SG are silicided, and wherein no silicide lies above the inter-gate dielectric that separates the SG and the MG in at least one of the split-gate memory cell.

33. The semiconductor device of claim 30 further comprising an inter-layer dielectric (ILD) formed over the substrate surrounding the MGs, the SGs and the metal gate of the logic FETs, the ILD planarized to have a top surface substantially co-planar with the top surfaces of the MGs, the SGs and the metal gate of the logic FETs.

34. The semiconductor device of claim 30 wherein the charge trapping layer in each split gate memory cell is a multi-layer charge trapping layer comprising an oxide-nitride-oxide (ONO) stack, and wherein a lower oxide of the ONO stack is formed from an oxide layer that is contiguous with the SG oxide layer.

35. A semiconductor device, comprising:

a memory array including a plurality of split-gate devices in a memory region of a substrate, each split-gate device including a polysilicon memory gate (MG) overlying a charge trapping layer, and a polysilicon select gate (SG) overlying a SG oxide layer formed adjacent to the MG and separated therefrom by an inter-gate dielectric; and
control circuitry including a plurality of logic field-effect transistor (FET) in a logic region of the substrate, each logic FET including a metal gate disposed over a high-k dielectric,
wherein top surfaces of each of the MGs, the SGs and the metal gate of the logic FETs are substantially co-planar.

36. The semiconductor device of claim 35 wherein the inter-gate dielectric in each split gate memory cell extends from the SG oxide layer to the top surfaces of the MG and the SG.

37. The semiconductor device of claim 35 wherein the top surfaces of each of the MG and the SG are silicided, and wherein no silicide lies above the inter-gate dielectric that separates the SG and the MG in each split-gate memory cell.

38. The semiconductor device of claim 35 further comprising an inter-layer dielectric (ILD) formed over the substrate surrounding the MGs, the SGs and the metal gate of the logic FETs, the ILD planarized to have a top surface substantially co-planar with the top surfaces of the MGs, the SGs and the metal gate of the logic FETs.

39. The semiconductor device of claim 35 wherein the charge trapping layer in each split gate memory cell is a multi-layer charge trapping layer comprising an oxide-nitride-oxide (ONO) stack, and wherein a lower oxide of the ONO stack is formed from an oxide layer that is contiguous with the SG oxide layer.

40. The semiconductor device of claim 35 wherein the control circuitry comprises row and column decoders, sense amplifiers and word line or bit line drivers used to the address plurality of split-gate devices in the memory array.

Patent History
Publication number: 20210134811
Type: Application
Filed: Nov 20, 2020
Publication Date: May 6, 2021
Applicant: Cypress Semiconductor Corporation (San Jose, CA)
Inventors: Chun Chen (San Jose, CA), James Pak (Sunnyvale, CA), Unsoon Kim (San Jose, CA), Inkuk Kang (San Jose, CA), Sung-Taeg Kang (Palo Alto, CA), Kuo Tung Chang (Saratoga, CA)
Application Number: 16/953,643
Classifications
International Classification: H01L 27/1157 (20060101); H01L 27/11573 (20060101); H01L 29/423 (20060101);