UNDERLAYER COMPOSITIONS AND PATTERNING METHODS

An underlayer composition, comprising a polymer comprising a repeating unit of formula (1): wherein Ar is a monocyclic or polycyclic C5-60 aromatic group, wherein the aromatic group comprises one or more aromatic ring heteroatoms, a substituent group comprising a heteroatom, or a combination thereof; X is C or O; R1, R2; Ra and Rb are as provided herein; optionally, R1 and R2 can be taken together form a 5- to 7-membered ring; optionally, one of R11 to R13 can be taken together with R1 to form a 5- to 7-membered ring; wherein Ra and Rb optionally may be taken together to form a 5- to 7-membered ring; wherein one of Ra or Rb optionally may be taken together with R2 to form a 5- to 7-membered ring; and when X is O, Ra and Rb are absent.

Skip to: Description  ·  Claims  · Patent History  ·  Patent History
Description
FIELD

The present invention relates generally to field of manufacturing electronic devices, and more specifically to the field of materials for use in semiconductor manufacture.

BACKGROUND

Photoresist underlayer compositions are used in the semiconductor industry as etch masks for lithography in advanced technology nodes for integrated circuit manufacturing. These compositions are often used in tri-layer and quad-layer photoresist integration schemes, where an organic or silicon containing anti-reflective coating and a patternable photoresist film layers are disposed on the bottom layer having a high carbon content.

An ideal photoresist underlayer material should possess certain specific characteristics: it should be capable of being cast onto a substrate by a spin-coating process, should be thermally set upon heating with low out-gassing and sublimation, should be soluble in common solvents for good spin bowl compatibility, should have appropriate n & k values to work in conjunction with the anti-reflective coating layer to impart low reflectivity necessary for photoresist imaging, and should have high thermal stability to avoid being damaged during later processing steps. In addition to these requirements, the ideal photoresist underlayer material has to provide a planar film upon spin-coating and thermal curing over a substrate with topography and sufficient dry etch selectivity to silicon-containing layers located above and below the photoresist underlayer films in order to transfer the photo-patterns into the final substrate in an accurate manner.

Cross-linkable novolac resins have been used for underlayer applications. Novolacs are the product of condensation polymerization of one or more activated aromatic compounds with another monomer selected from aliphatic or aromatic carbonyl compounds, benzyl ethers, benzyl alcohols, or benzyl halides. The most widely studied novolac resins are the products of polycondensation of activated aromatic derivatives with formaldehyde-type or aromatic aldehyde co-monomers. These resins have found widespread application in a variety of lithographic compositions. However, their rigid and highly aromatic backbone often results in a resin having an increased glass transition temperature that can inhibit the gap-fill and planarizing characteristics of the resins.

There remains a need for new lower-layer materials, such as photoresist underlayer materials, that can provide properties such as improved solubility, lower glass transition temperature, reduced cure temperature, high thermal stability, solvent resistance after cure, improved gap-fill, and improved planarization.

SUMMARY

Provided is an underlayer composition, comprising a polymer comprising a repeating unit of formula (1):

wherein Ar is a monocyclic or polycyclic C5-60 aromatic group, wherein the aromatic group comprises one or more aromatic ring heteroatoms, a substituent group comprising a heteroatom, or a combination thereof; X is C or O; R1 is hydrogen, substituted or unsubstituted C1-30 alkyl, substituted or unsubstituted C1-30 heteroalkyl, substituted or unsubstituted C3-30 cycloalkyl, substituted or unsubstituted C2-30 heterocycloalkyl, substituted or unsubstituted C2-30 alkenyl, substituted or unsubstituted C2-30 alkynyl, substituted or unsubstituted C6-30 aryl, substituted or unsubstituted C7-30 arylalkyl, substituted or unsubstituted C7-30 alkylaryl, substituted or unsubstituted C3-30 heteroaryl, or substituted or unsubstituted C4-30 heteroarylalkyl; R2 is substituted or unsubstituted C1-30 alkyl, substituted or unsubstituted C1-30 heteroalkyl, substituted or unsubstituted C3-30 cycloalkyl, substituted or unsubstituted C2-30 heterocycloalkyl, substituted or unsubstituted C2-30 alkenyl, substituted or unsubstituted C2-30 alkynyl, substituted or unsubstituted C6-30 aryl, substituted or unsubstituted C7-30 arylalkyl, substituted or unsubstituted C7-30 alkylaryl, substituted or unsubstituted C3-30 heteroaryl, substituted or unsubstituted C4-30 heteroarylalkyl, or —NR11R12 wherein R11 to R12 are each independently hydrogen, substituted or unsubstituted C1-30 alkyl, substituted or unsubstituted C3-30 cycloalkyl, substituted or unsubstituted C2-30 heterocycloalkyl, substituted or unsubstituted C6-30 aryl, substituted or unsubstituted C7-30 arylalkyl, substituted or unsubstituted C3-30 heteroaryl, or substituted or unsubstituted C4-30 heteroarylalkyl; optionally, R1 and R2 can be taken together to form a 5- to 7-membered ring; optionally, one of R11 to R12 can be taken together with R1 to form a 5- to 7-membered ring; when X is C, Ra and Rb are each independently hydrogen, substituted or unsubstituted C1-30 alkyl, substituted or unsubstituted C1-30 heteroalkyl, substituted or unsubstituted C3-30 cycloalkyl, substituted or unsubstituted C2-30 heterocycloalkyl, substituted or unsubstituted C2-30 alkenyl, substituted or unsubstituted C2-30 alkynyl, substituted or unsubstituted C6-30 aryl, substituted or unsubstituted C7-30 arylalkyl, substituted or unsubstituted C7-30 alkylaryl, substituted or unsubstituted C3-30 heteroaryl, or substituted or unsubstituted C4-30 heteroarylalkyl; wherein Ra and Rb optionally may be taken together to form a 5- to 7-membered ring; wherein one of Ra or Rb optionally may be taken together with R2 to form a 5- to 7-membered ring; and when X is O, Ra and Rb are absent.

Also provided is a method of forming a pattern, the method comprising: (a) applying a layer of the underlayer composition on a substrate; (b) curing the applied underlayer composition to form an underlayer; and (c) forming a photoresist layer over the underlayer.

DETAILED DESCRIPTION

Reference will now be made in detail to exemplary embodiments, examples of which are illustrated in the present description. In this regard, the present exemplary embodiments may have different forms and should not be construed as being limited to the descriptions set forth herein. Accordingly, the exemplary embodiments are merely described below, by referring to the figures, to explain aspects of the present description. As used herein, the term “and/or” includes all combinations of one or more of the associated listed items. Expressions such as “at least one of,” when preceding a list of elements, modify the entire list of elements and do not modify the individual elements of the list.

As used herein, the terms “a,” “an,” and “the” do not denote a limitation of quantity and are to be construed to cover both the singular and the plural, unless otherwise indicated herein or clearly contradicted by context. “Or” means “and/or” unless clearly indicated otherwise. All ranges disclosed herein are inclusive of the endpoints, and the endpoints are independently combinable with each other. The suffix “(s)” is intended to include both the singular and the plural of the term that it modifies, thereby including at least one of that term. “Optional” or “optionally” means that the subsequently described event or circumstance can or cannot occur, and that the description includes instances where the event occurs and instances where it does not. The terms “first,” “second,” and the like, herein do not denote an order, quantity, or importance, but are used to distinguish one element from another. When an element is referred to as being “on” another element, it may be directly in contact with the other element or intervening elements may be present therebetween. In contrast, when an element is referred to as being “directly on” another element, there are no intervening elements present. It is to be understood that the described components, elements, limitations, and/or features of aspects may be combined in any suitable manner in the various aspects.

Unless otherwise defined, all terms (including technical and scientific terms) used herein have the same meaning as commonly understood by one of ordinary skill in the art to which this invention belongs. It will be further understood that terms, such as those defined in commonly used dictionaries, should be interpreted as having a meaning that is consistent with their meaning in the context of the relevant art and the present disclosure, and will not be interpreted in an idealized or overly formal sense unless expressly so defined herein.

As used herein, the term “hydrocarbon group” refers to an organic compound having at least one carbon atom and at least one hydrogen atom, optionally substituted with one or more substituents where indicated; “alkyl group” refers to a straight or branched chain saturated hydrocarbon having the specified number of carbon atoms and having a valence of one; “alkylene group” refers to an alkyl group having a valence of two; “hydroxyalkyl group” refers to an alkyl group substituted with at least one hydroxyl group (—OH); “alkoxy group” refers to “alkyl-O—”; “carboxylic acid group” refers to a group having the formula “—C(═O)—OH”; “cycloalkyl group” refers to a monovalent group having one or more saturated rings in which all ring members are carbon; “cycloalkylene group” refers to a cycloalkyl group having a valence of two; “alkenyl group” refers to a straight or branched chain, monovalent hydrocarbon group having at least one carbon-carbon double bond; “alkenoxy group” refers to “alkenyl-O—”; “alkenylene group” refers to an alkenyl group having a valence of at least two; “cycloalkenyl group” refers to a cycloalkyl group having at least one carbon-carbon double bond; “alkynyl group” refers to a monovalent hydrocarbon group having at least one carbon-carbon triple bond; the term “aromatic group” denotes the conventional idea of aromaticity as defined in the literature, in particular in IUPAC 19, and refers to a monocyclic or polycyclic aromatic ring system that includes carbon atoms in the ring or rings, and optionally may include one or more heteroatoms independently selected from N, O, and S instead of a carbon atom or carbon atoms in the ring or rings; “aryl group” refers to a monovalent, monocyclic or polycyclic aromatic group containing only carbon atoms in the aromatic ring or rings, and may include a group with an aromatic ring fused to at least one cycloalkyl or heterocycloalkyl ring; “arylene group” refers to an aryl group having a valence of at least two; “alkylaryl group” refers to an aryl group that has been substituted with an alkyl group; “arylalkyl group” refers to an alkyl group that has been substituted with an aryl group; “aryloxy group” refers to “aryl-O—”; and “arylthio group” refers to “aryl-S—”.

The prefix “hetero” means that the compound or group includes at least one member that is a heteroatom (e.g., 1, 2, 3, or 4 or more heteroatom(s)) instead of a carbon atom, wherein the heteroatom(s) is each independently selected from N, O, S, Si, or P; “heteroatom-containing group” refers to a substituent group that includes at least one heteroatom; “heteroalkyl group” refers to an alkyl group having 1-4 heteroatoms instead of carbon atoms; “heterocycloalkyl group” refers to a cycloalkyl group with one or more N, O, or S atoms instead of carbon atoms; “heterocycloalkylene group” refers to a heterocycloalkyl group having a valence of at least two; “heteroaryl group” refers to an aryl group having 1 to 3 separate or fused rings with one or more N, O, or S atoms as ring members instead of carbon atoms; and “heteroarylene group” refers to a heteroaryl group having a valence of at least two.

The term “halogen” means a monovalent substituent that is fluorine (fluoro), chlorine (chloro), bromine (bromo), or iodine (iodo). The prefix “halo” means a group including one more of a fluoro, chloro, bromo, or iodo substituent instead of a hydrogen atom. A combination of halo groups (e.g., bromo and fluoro), or only fluoro groups may be present.

The symbol “*” represents a bonding site (i.e., point of attachment) of a repeating unit.

“Substituted” means that at least one hydrogen atom on the group is replaced with another group, provided that the designated atom's normal valence is not exceeded. When the substituent is oxo (i.e., ═O), then two hydrogens on the carbon atom are replaced. Combinations of substituents or variables are permissible. Exemplary groups that may be present on a “substituted” position include, but are not limited to, nitro (—NO2), cyano (—CN), hydroxyl (—OH), oxo (═O), amino (—NH2), mono- or di-(C1-6)alkylamino, alkanoyl (such as a C2-6 alkanoyl group such as acyl), formyl (—C(═O)H), carboxylic acid or an alkali metal or ammonium salt thereof, C2-6 alkyl ester (—C(═O)O-alkyl or —OC(═O)-alkyl), C7-13 aryl ester (—C(═O)O-aryl or —OC(═O)-aryl), amido (—C(═O)NR2 wherein R is hydrogen or C1-6 alkyl), carboxamido (—CH2C(═O)NR2 wherein R is hydrogen or C1-6 alkyl), halogen, thiol (—SH), C1-6 alkylthio (S-alkyl), thiocyano (—SCN), C1-6 alkyl, C2-6 alkenyl, C2-6 alkynyl, C1-6 haloalkyl, C1-9 alkoxy, C1-6 haloalkoxy, C3-12 cycloalkyl, C5-18 cycloalkenyl, C6-12 aryl having at least one aromatic ring (e.g., phenyl, biphenyl, naphthyl, or the like, each ring either substituted or unsubstituted aromatic), C7-19 arylalkyl having 1 to 3 separate or fused rings and from 6 to 18 ring carbon atoms, arylalkoxy having 1 to 3 separate or fused rings and from 6 to 18 ring carbon atoms, C7-12 alkylaryl, C4-12 heterocycloalkyl, C3-12 heteroaryl, C1-6 alkyl sulfonyl (—S(═O)2-alkyl), C6-12 arylsulfonyl (—S(═O)2-aryl), or tosyl (CH3C6H4SO2—). When a group is substituted, the indicated number of carbon atoms is the total number of carbon atoms in the group, excluding those of any substituents. For example, the group —CH2CH2CN is a C2 alkyl group substituted with a cyano group.

As noted above, one of the most widely studied novolac resins are the products of polycondensation of activated aromatic derivatives with formaldehyde-type or aromatic aldehyde comonomers. These resins have found widespread application in a variety of lithographic compositions. However, their rigid, highly aromatic backbones increase glass transition temperatures and inhibit their gap-fill and planarizing characteristics. There remains a continuing need for new photoresist underlayer materials that can provide properties such as improved solubility, lower glass transition temperature, reduced cure temperature, high thermal stability, solvent resistance after cure, improved gap-fill, and improved planarization.

To overcome these limitations, the present invention introduces novolac resins based on conjugated aliphatic aldehyde comonomers. The polymer of the inventive composition achieves improved solubility and a lower glass transition temperature compared to novolac resins that are the products of polycondensation of activated aromatic derivatives with formaldehyde-type or aromatic aldehyde comonomers.

According to an embodiment, underlayer composition includes a polymer including a repeating unit of formula (1):

wherein, in formula (1), Ar is a monocyclic or polycyclic C5-60 aromatic group, wherein the aromatic group comprises one or more aromatic ring heteroatoms, a substituent group comprising a heteroatom, or a combination thereof. For convenience, the monocyclic or polycyclic C5-60 aromatic group can be referred to herein as “the Ar group.” Typically, the one or more heteroatoms independently can be chosen from N, O, or S. When the C5-60 aromatic group is polycyclic, the ring or ring groups can be fused (such as naphthyl or the like), directly linked (such as biaryls, biphenyl, or the like), and/or bridged by a heteroatom (such as triphenylamino or diphenylene ether). In an embodiment, the polycyclic aromatic group may include a combination of fused and directly linked rings (such as binaphthyl or the like). It is to be understand that the one or more heteroatoms of the monocyclic or polycyclic C5-60 aromatic group may be present as aromatic ring members instead of carbon atoms (e.g., a heteroarylene group), as one or more heteroatoms of a heteroatom-containing substituent group (e.g., a hydroxyl substituent group), or a combination thereof.

The monocyclic or polycyclic C5-60 aromatic group can be substituted or unsubstituted. Exemplary substituents include, but are not limited to, substituted or unsubstituted C1-30 alkyl, substituted or unsubstituted C1-30 haloalkyl, substituted or unsubstituted C3-30 cycloalkyl, substituted or unsubstituted C1-30 heterocycloalkyl, substituted or unsubstituted C2-30 alkenyl, substituted or unsubstituted C2-30 alkynyl, substituted or unsubstituted C6-30 aryl, substituted or unsubstituted C7-30 arylalkyl, substituted or unsubstituted C7-30 alkylaryl, substituted or unsubstituted C3-30 heteroaryl, substituted or unsubstituted C4-30 heteroarylalkyl, halogen, —OR21, —SR22, or —NR23R24, wherein R21 to R24 are each independently hydrogen, or substituted or unsubstituted C1-30 alkyl, substituted or unsubstituted C3-30 cycloalkyl, substituted or unsubstituted C2-30 heterocycloalkyl, substituted or unsubstituted C6-30 aryl, substituted or unsubstituted C7-30 arylalkyl, substituted or unsubstituted C3-30 heteroaryl, or substituted or unsubstituted C4-30 heteroarylalkyl.

In an embodiment, the monocyclic or polycyclic C5-60 aromatic group may be a monocyclic or polycyclic C6-60 arylene group or a monocyclic or polycyclic C5-60 heteroarylene group. When the C5-60 aromatic group is a monocyclic or polycyclic C6-60 arylene group, at least one hydrogen atom is substituted for a heteroatom-containing substituent group, as detailed above, such as —OR21, —SR22, or —NR23R24, wherein R21 to R24 are each independently hydrogen, or substituted or unsubstituted C1-30 alkyl, substituted or unsubstituted C3-30 cycloalkyl, substituted or unsubstituted C2-30 heterocycloalkyl, substituted or unsubstituted C6-30 aryl, substituted or unsubstituted C7-30 arylalkyl, substituted or unsubstituted C3-30 heteroaryl, or substituted or unsubstituted C4-30 heteroarylalkyl. Preferably, Ar is a polycyclic C10-60 arylene group or a polycyclic C7-60 heteroarylene group. Exemplary Ar groups include, but are not limited to substituted or unsubstituted carbazolediyl, substituted phenylene, substituted biphenylene, substituted naphthylene, and substituted pyrenylene.

In an embodiment, the monocyclic or polycyclic C5-60 aromatic group can be a monocyclic or polycyclic C6-60 arylene group substituted with —OR21, —SR22, or —NR23R24, wherein R21 to —R24 are each independently hydrogen, substituted or unsubstituted C1-30 alkyl, substituted or unsubstituted C3-30 cycloalkyl, substituted or unsubstituted C2-30 heterocycloalkyl, substituted or unsubstituted C6-30 aryl, substituted or unsubstituted C7-30 arylalkyl, substituted or unsubstituted C3-30 heteroaryl, or substituted or unsubstituted C4-30 heteroarylalkyl. For example, the monocyclic or polycyclic C5-60 aromatic group can be a monocyclic or polycyclic C6-60 arylene group substituted with a hydroxyl group.

It is to be understood that when the “monocyclic or polycyclic C6-60 arylene group” is polycyclic, the number of carbon atoms is sufficient for the group to be chemically feasible. For example, the “monocyclic or polycyclic C6-60 arylene group” may refer to “a monocyclic C6-60 arylene group or a polycyclic C10-60 arylene group”; or, for example “a monocyclic C6-30 arylene group or a polycyclic C12-60 arylene group”.

It is to be understood that when the “monocyclic or polycyclic C5-60 heteroarylene group” is polycyclic, the number of carbon atoms is sufficient for the group to be chemically feasible. For example, the “monocyclic or polycyclic C5-60 heteroarylene group” may refer to “a monocyclic C5-60 heteroarylene group or a polycyclic C10-60 heteroarylene group”; or, for example “a monocyclic C5-30 heteroarylene group or a polycyclic C12-60 heteroarylene group”.

In formula (1), X is C or O. When X is C, Ra and Rb may each be independently hydrogen, substituted or unsubstituted C1-30 alkyl, substituted or unsubstituted C1-30 heteroalkyl, substituted or unsubstituted C3-30 cycloalkyl, substituted or unsubstituted C2-30 heterocycloalkyl, substituted or unsubstituted C2-30 alkenyl, substituted or unsubstituted C2-30 alkynyl, substituted or unsubstituted C6-30 aryl, substituted or unsubstituted C7-30 arylalkyl, substituted or unsubstituted C7-30 alkylaryl, substituted or unsubstituted C3-30 heteroaryl, or substituted or unsubstituted C4-30 heteroarylalkyl; wherein Ra and Rb optionally may be taken together to form a 5- to 7-membered ring; wherein one of Ra or Rb optionally may be taken together with R2 to form a 5- to 7-membered ring. When X is O, Ra and Rb are absent. In an embodiment, any of the aforementioned 5- to 7-membered rings optionally may be fused to one or more additional rings to form a polycyclic structure. Preferably, X is C.

In formula (1), R1 is hydrogen, substituted or unsubstituted C1-30 alkyl, substituted or unsubstituted C1-30 heteroalkyl, substituted or unsubstituted C3-30 cycloalkyl, substituted or unsubstituted C2-30 heterocycloalkyl, substituted or unsubstituted C2-30 alkenyl, substituted or unsubstituted C2-30 alkynyl, substituted or unsubstituted C6-30 aryl, substituted or unsubstituted C7-30 arylalkyl, substituted or unsubstituted C7-30 alkylaryl, substituted or unsubstituted C3-30 heteroaryl, or substituted or unsubstituted C4-30 heteroarylalkyl. Preferably, R1 is hydrogen, or substituted or unsubstituted C1-10 alkyl, with hydrogen being typical.

In formula (1), R2 is substituted or unsubstituted C1-30 alkyl, substituted or unsubstituted C1-30 heteroalkyl, substituted or unsubstituted C3-30 cycloalkyl, substituted or unsubstituted C2-30 heterocycloalkyl, substituted or unsubstituted C2-30 alkenyl, substituted or unsubstituted C2-30 alkynyl, substituted or unsubstituted C6-30 aryl, substituted or unsubstituted C7-30 arylalkyl, substituted or unsubstituted C7-30 alkylaryl, substituted or unsubstituted C3-30 heteroaryl, substituted or unsubstituted C4-30 heteroarylalkyl, —NR11R12 wherein R11 to R12 are each independently hydrogen, substituted or unsubstituted C1-30 alkyl, substituted or unsubstituted C3-30 cycloalkyl, substituted or unsubstituted C2-30 heterocycloalkyl, substituted or unsubstituted C6-30 aryl, substituted or unsubstituted C7-30 arylalkyl, substituted or unsubstituted C3-30 heteroaryl, or substituted or unsubstituted C4-30 heteroarylalkyl. In some embodiments, one of R11 to R12 optionally can be taken together with R1 to form a 5- to 7-membered ring.

Optionally, R1 and R2 can be taken together form a 5- to 7-membered ring.

In an embodiment, the Ar group may be a group of formula (2):

wherein A1, A2, and A3 each may be present or absent, and each independently represents 1 to 3 fused aromatic rings.

In formula (2), R3 and R4 are each independently substituted or unsubstituted C1-30 alkyl, substituted or unsubstituted C1-30 heteroalkyl, substituted or unsubstituted C3-30 cycloalkyl, substituted or unsubstituted C2-30 heterocycloalkyl, substituted or unsubstituted C2-30 alkenyl, substituted or unsubstituted C2-30 alkynyl, substituted or unsubstituted C6-30 aryl, substituted or unsubstituted C7-30 arylalkyl, substituted or unsubstituted C7-30 alkylaryl, substituted or unsubstituted C3-30 heteroaryl, or substituted or unsubstituted C4-30 heteroarylalkyl, halogen, —OR31, —SR32, or —NR33R34 provided that at least one of R3 or R4 is —OR31, —SR32, or —NR33R34.

In formula (2), R31 to R34 are each independently hydrogen, substituted or unsubstituted C1-30 alkyl, substituted or unsubstituted C3-30 cycloalkyl, substituted or unsubstituted C2-30 heterocycloalkyl, substituted or unsubstituted C6-30 aryl, substituted or unsubstituted C7-30 arylalkyl, substituted or unsubstituted C3-30 heteroaryl, or substituted or unsubstituted C4-30 heteroarylalkyl.

In formula (2), m is an integer of 0 to 4 and n is an integer of 0 to 4, provided that the sum of m and n is an integer greater than 0. For example, the sum of m and n may be 1, 2, 3, or 4 or more, typically 1 or 2.

In another embodiment, the Ar group may be a group of formulae (3a), (3b), or (3c):

wherein A4 represents 1 to 3 fused aromatic rings and A4 may be present or absent. It is preferred that A4 represents 1 to 3 aromatic rings, and more preferably 1 to 2 fused aromatic rings, and most preferably 1 fused aromatic ring.

In formulae (3a), (3b), and (3c), Z1 and Z2 are each independently C or N, provided that A4 comprises at least one heteroaryl ring, at least one of Z1 and Z2 is N, or a combination thereof.

In formulae (3a), (3b), and (3c), each R5 is independently substituted or unsubstituted C1-30 alkyl, substituted or unsubstituted C1-30 heteroalkyl, substituted or unsubstituted C3-30 cycloalkyl, substituted or unsubstituted C2-30 heterocycloalkyl, substituted or unsubstituted C2-30 alkenyl, substituted or unsubstituted C2-30 alkynyl, substituted or unsubstituted C6-30 aryl, substituted or unsubstituted 07.30 arylalkyl, substituted or unsubstituted C7-30 alkylaryl, substituted or unsubstituted C3-30 heteroaryl, or substituted or unsubstituted C4-30 heteroarylalkyl. In formulae (3a), (3b), and (3c), p is an integer of 0 to 4, typically 0 or 1.

In another embodiment, the Ar group may be a group of formula (4):

provided the Ar group comprises one or more aromatic ring heteroatoms, a substituent group comprising a heteroatom, or a combination thereof.

In formula (4), L1 is a single bond, —O—, —S—, —S(O)—, —SO2—, —C(O)—, —CR51R52—, —NR53—, or —PR54—, wherein R51 to R54 are each independently hydrogen, substituted or unsubstituted C1-30 alkyl, substituted or unsubstituted C1-30 heteroalkyl, substituted or unsubstituted C3-30 cycloalkyl, substituted or unsubstituted C2-30 heterocycloalkyl, substituted or unsubstituted C6-30 aryl, substituted or unsubstituted C7-30 arylalkyl, substituted or unsubstituted C3-30 heteroaryl, or substituted or unsubstituted C4-30 heteroarylalkyl. Preferably, L1 is —O— or —NR53—, more preferably —NR53—.

In formula (4), L2 is absent, a single bond, —O—, —S—, —S(O)—, —SO2—, —C(O)—, substituted or unsubstituted C1-2 alkylene, substituted or unsubstituted C6-30 arylene, or substituted or unsubstituted C5-30 heteroarylene. Preferably, L2 is a single bond.

In formula (4), R8 and R9 are each independently substituted or unsubstituted C1-30 alkyl, substituted or unsubstituted C1-30 heteroalkyl, substituted or unsubstituted C3-30 cycloalkyl, substituted or unsubstituted C2-30 heterocycloalkyl, substituted or unsubstituted C2-30 alkenyl, substituted or unsubstituted C2-30 alkynyl, substituted or unsubstituted C6-30 aryl, substituted or unsubstituted C7-30 arylalkyl, substituted or unsubstituted C7-30 alkylaryl, substituted or unsubstituted C3-30 heteroaryl, or substituted or unsubstituted C4-30 heteroarylalkyl, halogen, —OR55, —SR56, or —NR57R58.

In formula (4), R55 to R58 are each independently hydrogen, substituted or unsubstituted C1-30 alkyl, substituted or unsubstituted C1-30 heteroalkyl, substituted or unsubstituted C3-30 cycloalkyl, substituted or unsubstituted C2-30 heterocycloalkyl, substituted or unsubstituted C6-30 aryl, substituted or unsubstituted C7-30 arylalkyl, substituted or unsubstituted C3-30 heteroaryl, or substituted or unsubstituted C4-30 heteroarylalkyl.

In formula (4), a is an integer from 0 to 4, typically 0 to 2, more typically 0; and b is an integer from 0 to 4, typically 0 to 2, more typically 0.

The polymers of the invention may be prepared by reacting one or more monocyclic or polycyclic C5-60 aromatic compounds (aromatic monomers) with a 1,2-diketone or a conjugated aliphatic aldehyde (carbonyl monomers) of formula (5), in the presence of an acid catalyst, and optionally in a suitable solvent.

wherein X is C or O; and R1 is hydrogen, substituted or unsubstituted C1-30 alkyl, substituted or unsubstituted C1-30 heteroalkyl, substituted or unsubstituted C3-30 cycloalkyl, substituted or unsubstituted C2-30 heterocycloalkyl, substituted or unsubstituted C2-30 alkenyl, substituted or unsubstituted C2-30 alkynyl, substituted or unsubstituted C6-30 aryl, substituted or unsubstituted C7-30 arylalkyl, substituted or unsubstituted C7-30 alkylaryl, substituted or unsubstituted C3-30 heteroaryl, or substituted or unsubstituted C4-30 heteroarylalkyl.

In formula (5), R2 is substituted or unsubstituted C1-30 alkyl, substituted or unsubstituted C1-30 heteroalkyl, substituted or unsubstituted C3-30 cycloalkyl, substituted or unsubstituted C2-30 heterocycloalkyl, substituted or unsubstituted C2-30 alkenyl, substituted or unsubstituted C2-30 alkynyl, substituted or unsubstituted C6-30 aryl, substituted or unsubstituted C7-30 arylalkyl, substituted or unsubstituted C7-30 alkylaryl, substituted or unsubstituted C3-30 heteroaryl, substituted or unsubstituted C4-30 heteroarylalkyl, or —NR11R12 wherein R11 and R12 are each independently hydrogen, substituted or unsubstituted C1-30 alkyl, substituted or unsubstituted C3-30 cycloalkyl, substituted or unsubstituted C2-30 heterocycloalkyl, substituted or unsubstituted C6-30 aryl, substituted or unsubstituted C7-30 arylalkyl, substituted or unsubstituted C3-30 heteroaryl, or substituted or unsubstituted C4-30 heteroarylalkyl.

Optionally, R1 and R2 can be taken together form a 5- to 7-membered ring.

Optionally, one of R11 or R12 can be taken together with R1 to form a 5- to 7-membered ring.

In formula (5), when X is C, Ra and Rb are each independently hydrogen, substituted or unsubstituted C1-30 alkyl, substituted or unsubstituted C1-30 heteroalkyl, substituted or unsubstituted C3-30 cycloalkyl, substituted or unsubstituted C2-30 heterocycloalkyl, substituted or unsubstituted C2-30 alkenyl, substituted or unsubstituted C2-30 alkynyl, substituted or unsubstituted C6-30 aryl, substituted or unsubstituted C7-30 arylalkyl, substituted or unsubstituted C7-30 alkylaryl, substituted or unsubstituted C3-30 heteroaryl, or substituted or unsubstituted C4-30 heteroarylalkyl; wherein Ra and Rb optionally may be taken together to form a 5- to 7-membered ring; wherein one of Ra or Rb optionally may be taken together with R2 to form a 5- to 7-membered ring.

In formula (5), when X is O, Ra and Rb are absent.

The aromatic monomer is a monocyclic or polycyclic C5-60 aromatic compound, wherein the aromatic compound comprises one or more aromatic ring heteroatoms, a substituent group comprising a heteroatom, or a combination thereof. Exemplary C5-60 aromatic compounds include, but are not limited to, substituted benzene, substituted biphenyl, substituted naphthalene, substituted binaphthyl, substituted anthracene, substituted benz[a]anthracene, substituted fluorene, substituted fluoranthene, substituted benzo[b]fluoranthene, substituted dibenzo(a,h)anthracene, substituted phenanthrene, substituted phenalene, substituted tetracene, substituted chrysene, substituted triphenylene, substituted pyrene, substituted pentacene, substituted benzo[a]pyrene, substituted corannulene, substituted benzoperylene, substituted coronene, substituted ovalene, substituted benzo[c]fluorene, substituted or unsubstituted benzothiophene, substituted or unsubstituted dibenzothiophene, substituted or unsubstituted carbazole, substituted or unsubstituted indole, substituted or unsubstituted quinoline, substituted or unsubstituted isoquinoline, substituted or unsubstituted purine, substituted or unsubstituted phenoxazine, substituted or unsubstituted phenothiazine, substituted or unsubstituted oxophenothiazine, substituted or unsubstituted dioxophenothiazine, or the like.

The monomers and optional solvent may be combined in any order. An acid catalyst is typically added to the reaction mixture after the monomers and any optional solvent. Following addition of the acid catalyst, the reaction mixture may be heated, such as at reflux, for a period of time, such as from 1 to 48 hours. Following heating, the reaction product is isolated from the reaction mixture, such as by precipitation, and may be dried, and optionally purified, before use. The molar ratio of total aromatic monomer to total carbonyl monomer(s) is from 0.5:1 to 2:1, and typically from 0.67:1 to 1.5:1.

In an embodiment, the polymer is prepared from the carbonyl monomer of formula (5) without the use of another aldehyde, ketone, or 1,2-dicarbonyl monomer that is different from formula (5). In an embodiment, the polymer is prepared without the use of an aldehyde or ketone compound other than the carbonyl monomer of formula (5). For example, the polymer does not include a repeating unit derived from an aldehyde of the formula Ar′-CHO, where Ar′ is a substituted or unsubstituted C6-30 aromatic group.

A variety of solvents may be used to prepare the inventive polymers, such as, but not limited to, alcohols, glycol ethers, lactones, esters, ethers, ketones, water, and aromatic hydrocarbons. Preferably, a relatively polar solvent is used, such as alcohols, glycol ethers, lactones, esters, ethers, ketones, or water. Mixtures of solvents may be used. Exemplary solvents include, without limitation, methanol, ethanol, propanol, propylene glycol, propylene glycol monomethyl ether (PGME), propylene glycol dimethyl ether, diethylene glycol dimethyl ether, propylene glycol monomethyl ether acetate (PGMEA), gamma-butyrolactone (GBL), gamma-valerolactone, delta-valerolactone, ethyl lactate, 1,4-dioxane, cyclohexanone, cyclopentanone, methyl ethyl ketone, water, mesitylene, xylene, anisole, 4-methylanisole, and the like. Preferred solvents are methanol, ethanol, propanol, propylene glycol, propylene glycol monomethyl ether, propylene glycol dimethyl ether, propylene glycol monomethyl ether acetate, gamma-butyrolactone, gamma-valerolactone, delta-valerolactone, ethyl lactate, 1,4-dioxane, cyclohexanone, and water.

A variety of acids may suitably be used as catalysts in the preparation of the inventive polymers. Exemplary acids include, without limitation, organic carboxylic acids and dicarboxylic acids such as propionic acid and oxalic acid, mineral acids and sulfonic acids, and preferably the acid catalyst is a mineral acid or a sulfonic acid. Suitable mineral acids are HF, HCl, HBr, HNO3, H2SO4, H3PO4, and HClO4. Suitable sulfonic acids include alkane sulfonic acids and aryl sulfonic acids, such as methanesulfonic acid, ethane sulfonic acid, propane sulfonic acid, phenyl sulfonic acid, phenol sulfonic acid, para-toluenesulfonic acid, and cresol sulfonic acid. Preferred acid catalysts are HCl, HBr, HNO3, H2SO4, H3PO4, methane sulfonic acid, ethane sulfonic acid, phenyl sulfonic acid, phenol sulfonic acid, and para-toluenesulfonic acid (pTSA).

In another embodiment, the polymer includes a repeating unit of any one or more of formulae (6) to (12):

wherein Ar is defined in formula (1). Preferably, the polymer includes a repeating unit of formula (6).

The polymers of the invention may have a weight average molecular weight (Mw) of 500 to 20000 Dalton (Da), preferably from 500 to 15000 Da, and more preferably from 500 to 10000 Da, as determined by gel permeation chromatography (GPC) using polystyrene standards.

The underlayer composition may further comprise a solvent, and optionally one or more additives selected from curing agents, crosslinking agents, and surfactants. It will be appreciated by those skilled in the art that other additives may suitably be used in the present compositions.

The solvent may be an organic solvent typically used in the electronics industry, such as PGME, PGMEA, methyl 3-methoxypropionate (MMP), ethyl lactate, n-butyl acetate, anisole, N-methyl pyrrolidone, gamma-butyrolactone (GBL), ethoxybenzene, benzyl propionate, benzyl benzoate, cyclohexanone, cyclopentanone, propylene carbonate, xylene, mesitylene, cumene, limonene, and mixtures thereof. Typically, the total solids of the underlayer composition is from 0.5 to 20 wt % of the total weight of the underlayer composition, typically from 0.5 to 10 wt %, with the solvent accounting for the balance of the underlayer composition.

Optionally, the inventive underlayer composition may further comprise one or more curing agents to aid in the curing of the deposited polymer film. A curing agent is any component which causes curing of the underlayer composition on the surface of a substrate. Preferred curing agents are thermal acid generators (TAGs). A TAG is any compound which liberates acid upon exposure to heat. Thermal acid generators are well-known in the art and are generally commercially available, such as from King Industries, Norwalk, Conn. Exemplary thermal acid generators include, without limitation, amine blocked strong acids, such as amine blocked sulfonic acids such as amine blocked dodecylbenzenesulfonic acid. It will also be appreciated by those skilled in the art that certain photoacid generators are able to liberate acid upon heating and may function as thermal acid generators. The amount of such curing agents useful in the present compositions may be, for example, from greater than 0 to 10 wt %, and typically from greater than 0 to 3 wt % based on total solids of the underlayer composition.

Any suitable crosslinking agent may be used in the present underlayer compositions, provided that such crosslinking agent has at least 2, and preferably at least 3, moieties capable of reacting with the present polymer under suitable conditions, such as under acidic conditions. Exemplary crosslinking agents include, but are not limited to, novolac resins, epoxy-containing compounds, melamine compounds, guanamine compounds, isocyanate-containing compounds, benzocyclobutenes, benzoxazines, and the like, and typically any of the foregoing having 2 or more, more typically 3 or more substituents selected from methylol, C1-10 alkoxymethyl, and C2-10 acyloxymethyl. Examples of suitable crosslinking agents are those shown by formulae (13) and (14).

Such crosslinking agents are well-known in the art and are commercially available from a variety of sources. The amount of such crosslinking agents useful in the present compositions may be, for example, in the range of from greater than 0 to 30 wt %, and preferably from greater than 0 to 10 wt % based on total solids of the underlayer composition.

The present underlayer compositions may optionally include one or more surface leveling agents (or surfactants) and antioxidants. Typical surfactants include those which exhibit an amphiphilic nature, meaning that they may be both hydrophilic and hydrophobic at the same time. Amphiphilic surfactants possess a hydrophilic head group or groups, which have a strong affinity for water and a long hydrophobic tail, which is organophilic and repels water. Suitable surfactants may be ionic (i.e., anionic, cationic) or nonionic. Further examples of surfactants include silicone surfactants, poly(alkylene oxide) surfactants, and fluorochemical surfactants. Suitable non-ionic surfactants include, but are not limited to, octyl and nonyl phenol ethoxylates such as TRITON® X-114, X-100, X-45, X-15 and branched secondary alcohol ethoxylates such as TERGITOL™ TMN-6 (The Dow Chemical Company, Midland, Mich. USA) and PF-656 (Omnova Solutions, Beachwood, Ohio, USA). Still further exemplary surfactants include alcohol (primary and secondary) ethoxylates, amine ethoxylates, glucosides, glucamine, polyethylene glycols, poly(ethylene glycol-co-propylene glycol), or other surfactants disclosed in McCutcheon's Emulsifiers and Detergents, North American Edition for the Year 2000 published by Manufacturers Confectioners Publishing Co. of Glen Rock, N.J. Nonionic surfactants that are acetylenic diol derivatives also may be suitable. Such surfactants are commercially available from Air Products and Chemicals, Inc. of Allentown, Pa. and sold under the trade names of SURFYNOL® and DYNOL®. Additional suitable surfactants include other polymeric compounds such as the tri-block EO-PO-EO co-polymers PLURONIC® 25R2, L121, L123, L31, L81, L101 and P123 (BASF, Inc.). Such surfactants if used may be present in the composition in minor amounts, for example from greater than 0 to 1 wt % based on total solids of the underlayer composition.

An antioxidant may be added to the underlayer composition to prevent or minimize oxidation of organic materials in the composition. Suitable antioxidants include, for example, phenol-based antioxidants, antioxidants composed of an organic acid derivative, sulfur-containing antioxidants, phosphorus-based antioxidants, amine-based antioxidants, antioxidant composed of an amine-aldehyde condensate and antioxidants composed of an amine-ketone condensate. Examples of the phenol-based antioxidant include substituted phenols such as 1-oxy-3-methyl-4-isopropylbenzene, 2,6-di-tert-butylphenol, 2,6-di-tert-butyl-4-ethylphenol, 2,6-di-tert-butyl-4-methylphenol, 4-hydroxymethyl-2,6-di-tert-butylphenol, butylhydroxyanisole, 2-(1-methylcyclohexyl)-4,6-dimethylphenol, 2,4-dimethyl-6-tert-butylphenol, 2-methyl-4,6-dinonylphenol, 2,6-di-tert-butyl-α-dimethylamino-p-cresol, 6-(4-hydroxy-3,5-di-tert-butylanilino)2,4-bisoctyl-thio-1,3,5-triazine, n-octadecyl-3-(4′-hydroxy-3′,5′-di-tert-butylphenyl)propionate, octylated phenol, aralkyl-substituted phenols, alkylated p-cresol and hindered phenol; bis-, tris- and poly-phenols such as 4,4′-dihydroxydiphenyl, methylenebis(dimethyl-4,6-phenol), 2,2′-methylene-bis-(4-methyl-6-tert-butylphenol), 2,2′-methylene-bis-(4-methyl-6-cyclohexylphenol), 2,2′-methylene-bis-(4-ethyl-6-tert-butylphenol), 4,4′-methylene-bis-(2,6-di-tert-butylphenol), 2,2′-methylene-bis-(6-α-methyl-benzyl-p-cresol), methylene-crosslinked polyvalent alkylphenol, 4,4′-butylidenebis-(3-methyl-6-tert-butylphenol), 1,1-bis-(4-hydroxyphenyl)-cyclohexane, 2,2′-dihydroxy-3,3′-di-(α-methylcyclohexyl)-5,5′-dimethyldiphenylmethane, alkylated bisphenol, hindered bisphenol, 1,3,5-trimethyl-2,4,6-tris(3,5-di-tert-butyl-4-hydroxybenzyl)benzene, tris-(2-methyl-4-hydroxy-5-tert-butylphenyl)butane, and tetrakis-[methylene-3-(3′,5′-di-tert-butyl-4′-hydroxyphenyl)propionate]methane. Suitable antioxidants are commercially available, for example, Irganox™ antioxidants (Ciba Specialty Chemicals Corp.). The antioxidant if used may be present in the underlayer composition in an amount, for example, of from greater than 0 to 1 wt % based on total solids of the underlayer composition.

Another aspect of the present invention provides a coated substrate, including a layer of the underlayer composition disposed on a substrate; and a photoresist layer disposed on the layer of the underlayer composition. The coated substrate may further include a silicon-containing layer and/or an organic antireflective coating layer disposed above the underlayer composition and below the photoresist layer.

Yet another aspect of the present invention provides a method of forming a pattern. The method includes: (a) applying a layer of the underlayer composition on a substrate; (b) curing the applied underlayer composition to form an underlayer; and (c) forming a photoresist layer over the underlayer. The method may further include forming a silicon-containing layer and/or an organic antireflective coating layer above the underlayer prior to forming the photoresist layer. The method may further include patterning the photoresist layer and transferring the pattern from the patterned photoresist layer to the underlayer and to a layer below the underlayer.

As used herein, the term “underlayer” refers to all removable processing layers between the substrate and the photoresist layer, for example an organic antireflectant layer, a silicon containing middle layer, spin on carbon layer, and a photoresist underlayer.

A wide variety of substrates may be used in the patterning methods, with electronic device substrates being typical. Suitable substrates include, for example, packaging substrates such as multichip modules; flat panel display substrates; integrated circuit substrates; substrates for light emitting diodes (LEDs) including organic light emitting diodes (OLEDs); semiconductor wafers; polycrystalline silicon substrates; and the like. Suitable substrates may be in the form of wafers such as those used in the manufacture of integrated circuits, optical sensors, flat panel displays, integrated optical circuits, and LEDs. As used herein, the term “semiconductor wafer” is intended to encompass “an electronic device substrate,” “a semiconductor substrate,” “a semiconductor device,” and various packages for various levels of interconnection, including a single-chip wafer, multiple-chip wafer, packages for various levels, or other assemblies requiring solder connections. Such substrates may be any suitable size. Typical wafer substrate diameters are 200 mm to 300 mm, although wafers having smaller and larger diameters may be suitably employed according to the present invention. As used herein, the term “semiconductor substrate” includes any substrate having one or more semiconductor layers or structures which may optionally include active or operable portions of semiconductor devices. A semiconductor device refers to a semiconductor substrate upon which at least one microelectronic device has been or is being batch fabricated.

The substrates are typically composed of one or more of silicon, polysilicon, silicon oxide, silicon nitride, silicon oxynitride, silicon germanium, gallium arsenide, aluminum, sapphire, tungsten, titanium, titanium-tungsten, nickel, copper, and gold. The substrate may include one or more layers and patterned features. The layers may include, for example, one or more conductive layers such as layers of aluminum, copper, molybdenum, tantalum, titanium, tungsten, alloys, nitrides or silicides of such metals, doped amorphous silicon or doped polysilicon, one or more dielectric layers such as layers of silicon oxide, silicon nitride, silicon oxynitride, or metal oxides, semiconductor layers, such as single-crystal silicon, and combinations thereof. The layers can be formed by various techniques, for example, chemical vapor deposition (CVD) such as plasma-enhanced CVD (PECVD), low-pressure CVD (LPCVD) or epitaxial growth, physical vapor deposition (PVD) such as sputtering or evaporation, or electroplating.

The underlayer composition may be coated on the substrate by any suitable means, such as spin-coating, slot-die coating, doctor blading, curtain-coating, roller-coating, spray-coating, dip-coating, and the like. In the case of a semiconductor wafer, spin-coating is preferred. In a typical spin-coating method, the underlayer compositions are applied to a substrate which is spinning at a rate of 500 to 4000 rpm for a period of 15 to 90 seconds to obtain a desired layer of the underlayer composition on the substrate. It will be appreciated by those skilled in the art that the thickness of the coated underlayer composition may be adjusted by changing the spin speed, as well as the solids content of the composition. An underlayer formed from the underlayer composition typically has a dried layer thickness of from 5 nm to 50 μm, typically from 25 nm to 3 μm, and more typically from 50 to 500 nm. The underlayer composition may be applied so as to substantially fill, preferably fill, and more preferably fully fill, a plurality of gaps on the substrate.

The applied underlayer composition is optionally softbaked at a relatively low temperature to remove any solvent and other relatively volatile components from the composition. Exemplary baking temperatures may be from 60 to 170° C., although other suitable temperatures may be used. Such baking to remove residual solvent may be 10 seconds to 10 minutes, although longer or shorter times may suitably be used. When the substrate is a wafer, such baking step may be performed by heating the wafer on a hot plate.

The applied underlayer composition is then cured to form an underlayer, for example a photoresist underlayer. The underlayer composition should be sufficiently cured such that the underlayer does not intermix, or minimally intermixes, with a subsequently applied layer, such as a photoresist or other organic or inorganic layer disposed directly on the underlayer. The underlayer composition may be cured in an oxygen-containing atmosphere, such as air, or in an inert atmosphere, such as nitrogen and under conditions, such as heating, sufficient to provide a cured coating layer. This curing step is preferably conducted on a hot plate-style apparatus, although oven curing may be used to obtain equivalent results. The curing temperature should be sufficient to effect curing throughout the layer, for example, sufficient to allow a curing agent such as a free acid to effect crosslinking, or to allow a thermal acid generator to liberate acid and the liberated acid to effect crosslinking where the curing agent is a TAG. Typically, the curing is conducted at a temperature of 150° C. or greater, and preferably 150 to 450° C. It is more preferred that the curing temperature is 180° C. or greater, still more preferably 200° C. or greater, and even more preferably from 200 to 400° C. The curing time is typically from 10 seconds to 10 minutes, preferably from 30 seconds to 5 minutes, more preferably from 45 seconds to 5 minutes, and still more preferably from 45 to 90 seconds. Optionally, a ramped or a multi-stage curing process may be used. A ramped bake typically begins at a relatively low (e.g., ambient) temperature that is increased at a constant or varied ramp rate to a higher target temperature. A multi-stage curing process involves curing at two or more temperature plateaus, typically a first stage at a lower bake temperature and one or more additional stages at a higher temperature. Conditions for such ramped or multi-stage curing processes are known to those skilled in the art, and may allow for omission of a preceding softbake process.

After curing of the underlayer composition, one or more processing layers, such as a photoresist layer, a hardmask layer such as a metal hardmask layer, an organic or inorganic BARC layer, and the like, may be disposed over the cured underlayer. A photoresist layer may be formed directly on the surface of the underlayer or, alternatively, may be formed above the underlayer on one or more intervening layers. In this case, one or more intervening processing layers such as described above can be sequentially formed over the underlayer followed by formation of the photoresist layer. Determination of suitable layers, thicknesses and coating methods are well known to those skilled in the art.

A wide variety of photoresists may be suitably used in the methods of the invention and are typically positive-tone materials. Suitable photoresists include, for example, materials within the EPIC™ series of photoresists available from DuPont Electronics & Imaging (Marlborough, Mass.). The photoresist can be applied to the substrate by known coating techniques such as described above with reference to the underlayer composition, with spin-coating being typical. A typical thickness for the photoresist layer is from 500 to 3000 Å. The photoresist layer is typically next softbaked to minimize the solvent content in the layer, thereby forming a tack-free coating and improving adhesion of the layer to the substrate. The softbake can be conducted on a hotplate or in an oven, with a hotplate being typical. Typical softbakes are conducted at a temperature of from 90 to 150° C., and a time of from 30 to 90 seconds.

Optionally, one or more barrier layers may be disposed on the photoresist layer. Suitable barrier layers include a topcoat layer, a top antireflectant coating layer (or TARC layer), and the like. Preferably, a topcoat layer is used when the photoresist is patterned using immersion lithography. Such topcoats are well-known in the art and are generally commercially available, such as OC™ 2000 available from DuPont Electronics & Imaging. It will be appreciated by those skilled in the art that a TARC layer is not needed when an organic antireflectant layer is used under the photoresist layer.

The photoresist layer is next exposed to activating radiation through a photomask to create a difference in solubility between exposed and unexposed regions. References herein to exposing a photoresist composition to radiation that is activating for the composition indicates that the radiation is capable of forming a latent image in the photoresist composition. The photomask has optically transparent and optically opaque regions corresponding to regions of the resist layer to be exposed and unexposed, respectively, by the activating radiation. The exposure wavelength is typically sub-400 nm, sub-300 nm, such as 248 nm (KrF), 193 nm (ArF) or an EUV wavelength (e.g., 13.5 nm). In a preferred aspect, the exposure wavelength is 193 nm. The exposure energy is typically from 10 to 80 mJ/cm2, depending, for example, on the exposure tool and the components of the photosensitive composition.

Following exposure of the photoresist layer, a post-exposure bake (PEB) is typically performed. The PEB can be conducted, for example, on a hotplate or in an oven. The PEB is typically conducted at a temperature of from 80 to 150° C., and a time of from 30 to 90 seconds. A latent image defined by the boundary between polarity-switched and unswitched regions (corresponding to exposed and unexposed regions, respectively) is thereby formed. The exposed photoresist layer is then developed using the appropriate developer to provide a patterned photoresist layer.

The pattern of the photoresist layer can then be transferred to one or more underlying layers including the underlayer and to the substrate by appropriate etching techniques, such as by plasma etching or wet etching. Plasma etching may use an appropriate gas species for each layer being etched. Suitable wet chemical etch chemistries include, for example, mixtures comprising ammonium hydroxide, hydrogen peroxide, and water (e.g., SC-1 clean); mixtures comprising hydrochloric acid, hydrogen peroxide, and water (e.g., SC-2 clean); mixtures comprising sulfuric acid, hydrogen peroxide, and water (e.g., SPM clean); mixtures comprising phosphoric acid, hydrogen peroxide, and water; mixtures comprising hydrofluoric acid and water; mixtures comprising hydrofluoric acid, phosphoric acid, and water; mixtures comprising hydrofluoric acid, nitric acid, and water; mixtures comprising tetramethylammonium hydroxide and water; and the like.

Depending on the number of layers and materials involved, pattern transfer may involve multiple etching steps using different techniques. The patterned photoresist layer, underlayer, and other optional layers in the lithographic stack may be removed following pattern transfer of the substrate using conventional techniques. Optionally, one or more of the layers of the stack may be removed, or is consumed, following pattern transfer to an underlying layer and prior to pattern transfer to the substrate. The substrate is then further processed according to known processes to form an electronic device.

The underlayer composition may also be used in a self-aligned double patterning process. In such a process, a layer of the underlayer composition described above is coated on a substrate, such as by spin-coating. Any remaining organic solvent is removed, and the coating layer is cured to form a photoresist underlayer. A suitable middle layer, such as a silicon-containing hardmask layer is optionally coated on the photoresist underlayer. A layer of a suitable photoresist is then coated on the middle layer, such as by spin coating. The photoresist layer is then imaged (exposed) and the exposed photoresist layer is then developed using the appropriate developer to provide a patterned photoresist layer. The pattern is next transferred from the photoresist layer to the middle layer and the underlayer by appropriate etching techniques to expose portions of the substrate. Typically, the photoresist is also removed during such etching step. Next, a conformal silicon-containing layer is disposed over the patterned underlayer and exposed portions of the substrate. Such silicon-containing layer is typically an inorganic silicon layer such as SiON or SiO2 which is conventionally deposited by CVD. Such conformal coatings result in a silicon-containing layer on the exposed portions of the substrate surface as well as over the underlayer pattern, that is, such silicon-containing layer substantially covers the sides and top of the underlayer pattern. Next, the silicon-containing layer is partially etched (trimmed) to expose a top surface of the patterned underlayer and a portion of the substrate. Following this partial etching step, the pattern on the substrate comprises a plurality of features, each feature comprising a line or post of the underlayer with the silicon-containing layer directly adjacent to the sides of each underlayer feature. Next, exposed regions of the underlayer are removed, such as by etching, to expose the substrate surface that was under the underlayer pattern, and providing a patterned silicon-containing layer on the substrate surface, where such patterned silicon-containing layer is doubled (that is, twice as many lines and/or posts) as compared to the patterned underlayer.

Underlayers, such as photoresist underlayers, formed from the inventive underlayer compositions show excellent planarization and good solvent resistance. Preferred underlayer compositions of the invention may, as a result, be useful in a variety of semiconductor manufacturing processes.

The present inventive concept is further illustrated by the following examples. All compounds and reagents used herein are available commercially except where a procedure is provided below.

EXAMPLES Polymer Synthesis Synthesis Example 1

To a round bottom flask were added 8.0 g of 1-naphthol (1 equiv.), 8.34 g of (1R)-(−)-myrtenal (1 equiv.), and 20 mL of PGME. The reaction mixture was warmed to 60° C. and stirred for 5 min, followed by the addition of 5.33 g of methane sulfonic acid in one portion. The reaction was then heated to 120° C. for 20 h. After this reaction time, the reaction mixture was cooled to room temperature and poured into a 9/1 (v/v) methanol/water solution to give a solid polymer product. The product was filtered off and washed with methanol, then air-dried for 4 h and vacuum-dried at 50° C. for an additional 20 h to give Synthesis Example 1 (P-1). (61% yield, Mw=1010, PDI=1.2).

Synthesis Examples 2 to 6

Synthesis Examples 2 (P-2) to 6 (P-6) were prepared with the same procedure as above using the respective aromatic monomers to get the desired polymers, as shown by the structures below. Citral was used as the aldehyde monomer for Synthesis Examples 5 and 6.

Synthesis Example 7

To a round bottom flask were added 10.0 g of 4,4′-(9-fluorenylidene)diphenol (1 equiv.), 5.21 g of acenaphthenequinone (1 equiv.), and 40 mL of PGME. The reaction mixture was warmed to 60° C. and stirred for 5 min, followed by the addition of 2.00 g of methane sulfonic acid in one portion. The reaction was then heated to 120° C. for 24 h. After this reaction time, the reaction mixture was cooled to room temperature and poured into 9/1 (v/v) methanol/water to give a solid polymer product. The product was filtered off and washed in water and methanol, then air-dried for 4 h and vacuum-dried at 50° C. for an additional 20 h to give Synthesis Example 7 (P-7). (40% yield, Mw=1120, PDI=1.3).

Synthesis Examples 8 to 12

Synthesis Examples 8 (P-8) to 12 (P-12) were prepared with the same procedure as above using the respective aromatic monomers and diketone monomers to get the desired polymers, as shown by the structures below.

Comparative Polymer Synthesis Comparative Synthesis Example 1

To a round bottom flask were added 5.0 g of 1-naphthol (1 equiv.), 1.04 g of paraformaldehyde (1 equiv.), and 25 mL of propylene glycol monomethyl ether acetate (PGMEA). The reaction mixture was warmed to 60° C. and stirred for 5 min, followed by addition of 0.20 g of methane sulfonic acid in one portion. The reaction was then heated to 120° C. for 16 h. After this reaction time, the reaction mixture was cooled to room temperature and poured into 9/1 (v/v) methanol/water to give a solid polymer product. The product was filtered off and washed in water and methanol, then air-dried for 4 h and vacuum-dried at 50° C. for an additional 20 h to give Comparative Synthesis Example 1 (CP-1). (52% yield, Mw=2240, PDI=2.0).

Comparative Synthesis Example 2

To a round bottom flask were added 5.0 g of carbazole (1.5 equiv.), 0.60 g of paraformaldehyde (1 equiv.), and 20 mL of propylene glycol monomethyl ether acetate (PGMEA). The reaction mixture was warmed to 60° C. and stirred for 5 min, followed by addition of 1.45 g of methane sulfonic acid in one portion. The reaction was then heated to 120° C. for 16 h. A solid precipitate formed in the reaction mixture during the reaction. After the allotted time, the reaction mixture was cooled to room temperature and the suspension was poured into 9/1 (v/v) methanol/water to give a solid polymer product. The product was filtered off and washed with methanol, then air-dried for 4 h and vacuum-dried at 50° C. for an additional 20 h to give Comparative Synthesis Example 2 (CP-2). (80% yield, Mw=2730, PDI=2.5).

Comparative Synthesis Example 3

To a round bottom flask were added 5.0 g of 1-pyrenol (1 equiv.), 0.69 g of paraformaldehyde (1 equiv.), and 20 mL of propylene glycol monomethyl ether (PGME). The reaction mixture was warmed to 60° C. and stirred for 5 min, followed by addition of 2.20 g of methane sulfonic acid in one portion. The reaction was then heated to 120° C. for 1 h. After this reaction time, the reaction mostly solidified. The solid was taken from the flask and washed in water and methanol, then air-dried for 4 h and vacuum-dried at 50° C. for an additional 20 h to give Comparative Synthesis Example 3 (CP-3).

Comparative Synthesis Example 4

To a round bottom flask were added 10.0 g of 1-naphthol (1 equiv.), 7.78 g of cyclohexane carboxaldehyde (1 equiv.), and 30 mL of propylene glycol monomethyl ether (PGME). The reaction mixture was warmed to 60° C. and stirred for 5 min, followed by addition of 6.70 g of methane sulfonic acid in one portion. The reaction was then heated to 120° C. for 20 h. After this reaction time, the reaction mixture was cooled to room temperature and poured into 9/1 (v/v) methanol/water to give a solid product. The product was filtered off and washed in methanol, then air-dried for 4 h and vacuum-dried at 50° C. for an additional 20 h to give. The Comparative Synthesis Example 4 (CP-4) was identified as a nonpolymeric small molecule product by GPC analysis.

Comparative Synthesis Example 5

In a round bottom flask were added 10.0 g of 1-naphthol (1 equiv.), 7.92 g of heptanal (1 equiv.), and 35 mL of propylene glycol monomethyl ether (PGME). The reaction mixture was warmed to 60° C. and stirred for 5 min, followed by addition of 6.70 g of methane sulfonic acid in one portion. The reaction was then heated to 120° C. for 20 h. After this reaction time, the reaction mixture was cooled to room temperature and poured into 9/1 (v/v) methanol/water. No precipitation was observed, so the crude mixture was concentrated to give an oil. Comparative Synthesis Example 5 (CP-5) was identified as a nonpolymeric small molecule product by GPC analysis.

Physical Testing

Number and weight-average molecular weights, Mn and Mw, respectively, and polydispersity (PDI) values (Mw/Mn) for the polymers were measured by gel permeation chromatography (GPC) on an Agilent 1100 series LC system equipped with an Agilent 1100 series refractive index and MiniDAWN light scattering detector (Wyatt Technology Co.). Samples were dissolved in HPLC grade THE at a concentration of approximately 10 mg/mL and filtered through at 0.45 μm syringe filter, then injected through four Shodex columns (KF805, KF804, KF803 and KF802). A flow rate of 1 mL/min and temperature of 35° C. were maintained. The columns were calibrated with narrow molecular weight PS standards (EasiCal PS-2, Polymer Laboratories, Inc.).

Differential scanning calorimetry (DSC) was used to determine glass transition temperatures on bulk polymer. Samples (1-3 mg) were heated to and held at 150° C. for 10 min to remove residual solvent on the first cycle, then cooled to 0° C. and ramped back up to 300° C. at a heating rate of 10° C./min. The second heating curve and reversible heating curves were used to identify glass transition temperatures.

Table 1 shows the molecular weight, solubility, and thermal characterization for polymers P-1 to P-12 and comparative polymers CP-1 and CP-5.

TABLE 1 Example Mw PDI Solubilitya Tg (° C.)b P-1 1010 1.2 +++ 81 P-2 880 1.1 +++ 60 P-3 1220 1.2 +++ 92 P-3c 1050 1.2 +++ 61 P-4 1270 1.2 +++ 181 P-4c 1270 1.2 +++ 101 P-5 830 1.1 +++ 66 P-6 780 1.1 +++ 8 P-7 1120 1.3 + 262 P-8 790 1.4 + 139 P-9 640 1.6 +++ 83 P-10 850 1.3 +++ 169 P-11 730 1.7 +++ 111 P-12 1040 1.4 + 159 CP-1 2240 2.0 +++ N/A CP-2 2730 2.5 X N/A CP-3 X N/A CP-4 No polymer CP-5 No polymer aSolubility measured at 10 wt % in PGMEA. +++ indicates full dissolution, + indicates partial dissolution with some particles observed, X indicates minimal or no dissolution at this concentration. bMeasured by DSC, heating rate 10° C./min; N/A indicates “not observed”. cReaction included 4 equivalents of aldehyde compared to aryl alcohol.

As shown in Table 1, the inventive polymers have better solubility and lower glass transition temperatures relative to the comparative examples.

Formulations

Underlayer compositions were prepared by combining the polymers set forth in Table 1 with components outlined in Table 2 to form compositions. The compositions were filtered through a 0.2 μm PTFE syringe filter prior to coating. The amounts of polymer, additive 1, additive 2, and solvents are listed in grams (g).

TABLE 2 Solvent Example Polymer Additive 1 Additive 2 Solvents resistance* Planarization* E-1 P-4 B-1 C-1 D-1/D-2 A A (4.1) (0.34) (0.05) (92.6/2.9) E-2 P-4 B-1 D-1/D-2 A A (4.2) (0.34) (92.6/2.9) CE-1 CP-1 D-1/D-2 B B (4.5) (92.6/2.9) CE-2 CP-1 B-1 D-1/D-2 A B (4.2) (0.34) (92.6/2.9) *Solvent resistance and planarization are described below.

The structures of additive B-1, additive C-1, solvent D-1, and solvent D-2 are as follows.

Coating and Film Testing

The underlayer compositions were coated at 100-200 nm and baked at 240° C. for 60 s. Film thicknesses were measured by ellipsometry.

Solvent Resistance

Solvent resistance was measured as an indication of film crosslinking. The underlayer compositions were coated and baked on 8-inch silicon wafers using ACT-8 Clean Track (Tokyo Electron Co.). Film thickness was measured with OptiProbe™ from Therma-wave Co. Propylene glycol monomethyl ether acetate (PGMEA) was applied onto the films for 90 s followed by a post strip bake (PSB) at 105° C. for 60 s. The solvent resistance was calculated according to Equation 1:


[(FT before strip)−(FT after PSB)]/(FT before strip)*100%  Equation 1

wherein FT is film thickness. Solvent resistance is reported in Table 2, where A is defined as 95-100% solvent resistance and B is defined as less than 95% solvent resistance.

Planarization Tests

The underlayer compositions of the invention were evaluated to determine their planarization properties. Templates were created at CNSE Nano-FAB (Albany, N.Y.). The template had SiO2 film thickness of 100 nm, and various pitch and patterns with die size of 1 cm×1 cm. Each die started with a 100 nm isolated step pattern followed by a 2000 lam non-pattern open area, followed by various line/space patterns that covered 45 nm/90 nm to 2 μm/5 lam pitch trenches. The first step pattern was used to judge planarization performance. The template coupons were baked at 150° C. for 60 seconds as a dehydration bake prior to coating the coupons with the present compositions. Each underlayer composition was coated on a template coupon using a spin coater and a spin rate of 1500 rpm+/−200 rpm. The target film thickness was 100 nm after curing, and the composition dilution was adjusted accordingly to give approximately the target film thickness after curing. The films were cured by placing the wafer on a hot plate at 240° C. for 60 sec. Planarization quality of the films across a step was evaluated by KLA Tencor P-7 stylus profilometer.

In Table 2, planarization quality is defined as follows: A indicates a change in height of less than 30 nm, B indicates a change in height of greater than 30 nm. Lower numbers indicate superior planarization performance, so A represents best planarization, and B represents worst planarization performance.

As can be seen from Table 2, inventive underlayer compositions have superior planarization performance as compared to the comparative underlayer compositions.

While this disclosure has been described in connection with what is presently considered to be practical exemplary embodiments, it is to be understood that the invention is not limited to the disclosed embodiments, but, on the contrary, is intended to cover various modifications and equivalent arrangements included within the spirit and scope of the appended claims.

Claims

1. An underlayer composition, comprising a polymer comprising a repeating unit of formula (1): wherein,

Ar is a monocyclic or polycyclic C5-60 aromatic group, wherein the aromatic group comprises one or more aromatic ring heteroatoms, a substituent group comprising a heteroatom, or a combination thereof;
X is C or O;
R1 is hydrogen, substituted or unsubstituted C1-30 alkyl, substituted or unsubstituted C1-30 heteroalkyl, substituted or unsubstituted C3-30 cycloalkyl, substituted or unsubstituted C2-30 heterocycloalkyl, substituted or unsubstituted C2-30 alkenyl, substituted or unsubstituted C2-30 alkynyl, substituted or unsubstituted C6-30 aryl, substituted or unsubstituted C7-30 arylalkyl, substituted or unsubstituted C7-30 alkylaryl, substituted or unsubstituted C3-30 heteroaryl, or substituted or unsubstituted C4-30 heteroarylalkyl;
R2 is substituted or unsubstituted C1-30 alkyl, substituted or unsubstituted C1-30 heteroalkyl, substituted or unsubstituted C3-30 cycloalkyl, substituted or unsubstituted C2-30 heterocycloalkyl, substituted or unsubstituted C2-30 alkenyl, substituted or unsubstituted C2-30 alkynyl, substituted or unsubstituted C6-30 aryl, substituted or unsubstituted C7-30 arylalkyl, substituted or unsubstituted C7-30 alkylaryl, substituted or unsubstituted C3-30 heteroaryl, substituted or unsubstituted C4-30 heteroarylalkyl, or —NR11R12, wherein R11 to R12 are each independently hydrogen, substituted or unsubstituted C1-30 alkyl, substituted or unsubstituted C3-30 cycloalkyl, substituted or unsubstituted C2-30 heterocycloalkyl, substituted or unsubstituted C6-30 aryl, substituted or unsubstituted C7-30 arylalkyl, substituted or unsubstituted C3-30 heteroaryl, or substituted or unsubstituted C4-30 heteroarylalkyl;
optionally, R1 and R2 can be taken together form a 5- to 7-membered ring;
optionally, one of R11 to R12 can be taken together with R1 to form a 5- to 7-membered ring;
when X is C, Ra and Rb are each independently hydrogen, substituted or unsubstituted C1-30 alkyl, substituted or unsubstituted C1-30 heteroalkyl, substituted or unsubstituted C3-30 cycloalkyl, substituted or unsubstituted C2-30 heterocycloalkyl, substituted or unsubstituted C2-30 alkenyl, substituted or unsubstituted C2-30 alkynyl, substituted or unsubstituted C6-30 aryl, substituted or unsubstituted C7_30 arylalkyl, substituted or unsubstituted C7-30 alkylaryl, substituted or unsubstituted C3-30 heteroaryl, or substituted or unsubstituted C4-30 heteroarylalkyl;
wherein Ra and Rb optionally may be taken together to form a 5- to 7-membered ring;
wherein one of Ra or Rb optionally may be taken together with R2 to form a 5- to 7-membered ring; and
when X is O, Ra and Rb are absent.

2. The underlayer composition of claim 1, wherein Ar is a monocyclic or polycyclic C5-60 heteroarylene group or a monocyclic or polycyclic C6-60 arylene group, optionally substituted with at least one of substituted or unsubstituted C1-30 alkyl, substituted or unsubstituted C1-30 haloalkyl, substituted or unsubstituted C3-30 cycloalkyl, substituted or unsubstituted C1-30 heterocycloalkyl, substituted or unsubstituted C2-30 alkenyl, substituted or unsubstituted C2-30 alkynyl, substituted or unsubstituted C6-30 aryl, substituted or unsubstituted C7-30 arylalkyl, substituted or unsubstituted C7-30 alkylaryl, substituted or unsubstituted C3-30 heteroaryl, substituted or unsubstituted C4-30 heteroarylalkyl, halogen, —OR21, —SR22, or —NR23R24 wherein R21 to R24 are each independently hydrogen, substituted or unsubstituted C1-30 alkyl, substituted or unsubstituted C3-30 cycloalkyl, substituted or unsubstituted C2-30 heterocycloalkyl, substituted or unsubstituted C6-30 aryl, substituted or unsubstituted C7-30 arylalkyl, substituted or unsubstituted C3-30 heteroaryl, or substituted or unsubstituted C4-30 heteroarylalkyl,

provided that the monocyclic or polycyclic C6-60 arylene group is substituted with at least one substituent group comprising a heteroatom.

3. The underlayer composition of claim 1, wherein Ar comprises a group of formula (2): wherein,

A1, A2, and A3 each may be present or absent, and each independently represents 1 to 3 fused aromatic rings;
R3 and R4 are each independently substituted or unsubstituted C1-30 alkyl, substituted or unsubstituted C1-30 heteroalkyl, substituted or unsubstituted C3-30 cycloalkyl, substituted or unsubstituted C2-30 heterocycloalkyl, substituted or unsubstituted C2-30 alkenyl, substituted or unsubstituted C2-30 alkynyl, substituted or unsubstituted C6-30 aryl, substituted or unsubstituted C7-30 arylalkyl, substituted or unsubstituted C7-30 alkylaryl, substituted or unsubstituted C3-30 heteroaryl, or substituted or unsubstituted C4-30 heteroarylalkyl, halogen, —OR31, —SR32, or —NR33R34;
provided that at least one of R3 or R4 is —OR31, —SR32, or —NR33R34;
R31 to R34 are each independently hydrogen, substituted or unsubstituted C1-30 alkyl, substituted or unsubstituted C3-30 cycloalkyl, substituted or unsubstituted C2-30 heterocycloalkyl, substituted or unsubstituted C6-30 aryl, substituted or unsubstituted C7-30 arylalkyl, substituted or unsubstituted C3-30 heteroaryl, or substituted or unsubstituted C4-30 heteroarylalkyl;
m is an integer 0 to 4;
n is an integer 0 to 4; and
provided that the sum of m and n is an integer greater than 0.

4. The underlayer composition of claim 1, wherein Ar comprises a group of formula (3a), (3b), or (3c): wherein,

A4 may be present or absent, and represents 1 to 3 fused aromatic rings;
Z1 and Z2 are each independently C or N;
each R5 is independently substituted or unsubstituted C1-30 alkyl, substituted or unsubstituted C1-30 heteroalkyl, substituted or unsubstituted C3-30 cycloalkyl, substituted or unsubstituted C2-30 heterocycloalkyl, substituted or unsubstituted C2-30 alkenyl, substituted or unsubstituted C2-30 alkynyl, substituted or unsubstituted C6-30 aryl, substituted or unsubstituted C7-30 arylalkyl, substituted or unsubstituted C7-30 alkylaryl, substituted or unsubstituted C3-30 heteroaryl, or substituted or unsubstituted C4-30 heteroarylalkyl; and
p is an integer of 0 to 4,
wherein A4 comprises at least one heteroaryl ring, at least one of Z1 and Z2 is N, or a combination thereof.

5. The underlayer composition of claim 1, wherein Ar comprises a group of formula (4): wherein,

L1 is a single bond, —O—, —S—, —S(O)—, —SO2—, —C(O)—, —CR51R52—, —NR53—, or —PR54—;
L2 is absent, a single bond, —O—, —S—, —S(O)—, —SO2—, —C(O)—, substituted or unsubstituted C1-2 alkylene, substituted or unsubstituted C6-30 arylene, or substituted or unsubstituted C5-30 heteroarylene;
R8 and R9 are each independently substituted or unsubstituted C1-30 alkyl, substituted or unsubstituted C1-30 heteroalkyl, substituted or unsubstituted C3-30 cycloalkyl, substituted or unsubstituted C2-30 heterocycloalkyl, substituted or unsubstituted C2-30 alkenyl, substituted or unsubstituted C2-30 alkynyl, substituted or unsubstituted C6-30 aryl, substituted or unsubstituted C7-30 arylalkyl, substituted or unsubstituted C7-30 alkylaryl, substituted or unsubstituted C3-30 heteroaryl, or substituted or unsubstituted C4-30 heteroarylalkyl, halogen, —OR55, —SR56, or —NR57R58;
R51 to R58 are each independently hydrogen, substituted or unsubstituted C1-30 alkyl, substituted or unsubstituted C1-30 heteroalkyl, substituted or unsubstituted C3-30 cycloalkyl, substituted or unsubstituted C2-30 heterocycloalkyl, substituted or unsubstituted C6-30 aryl, substituted or unsubstituted C7-30 arylalkyl, substituted or unsubstituted C3-30 heteroaryl, or substituted or unsubstituted C4-30 heteroarylalkyl;
a is an integer of 0 to 4; and
b is an integer of 0 to 4.

6. The photoresist underlayer composition of claim 1, wherein the monocyclic or polycyclic C5-60 aromatic group is a monocyclic or polycyclic C6-60 arylene group substituted with hydroxyl.

7. The underlayer composition of claim 1, wherein the polymer comprises a repeating unit of any one or more of formulae (6) to (12):

wherein Ar is as defined in any one of claims 1 to 6.

8. The underlayer composition of claim 1, wherein X is C.

9. The underlayer composition of claim 1, further comprising one or more of a curing agent, a crosslinking agent, and a surfactant.

10. A method of forming a pattern, the method comprising: (a) applying a layer of the underlayer composition of claim 1 on a substrate; (b) curing the applied underlayer composition to form an underlayer; and (c) forming a photoresist layer over the underlayer.

11. The method of claim 10, further comprising forming a silicon-containing layer, an organic antireflective coating layer, or a combination thereof, above the underlayer prior to forming the photoresist layer.

12. The method of claim 10, further comprising patterning the photoresist layer and transferring a pattern from the patterned photoresist layer to the underlayer to a layer below the underlayer.

Patent History
Publication number: 20220066321
Type: Application
Filed: Aug 31, 2020
Publication Date: Mar 3, 2022
Inventors: Joshua Kaitz (Watertown, MA), Sheng Liu (Bow, NH), Li Cui (Westborough, MA), Shintaro Yamada (Shrewsbury, MA), James F. Cameron (Brookline, MA), Emad Aqad (Northborough, MA), Iou-Sheng Ke (Andover, MA), Suzanne M. Coley (Mansfield, MA)
Application Number: 17/007,903
Classifications
International Classification: G03F 7/11 (20060101); C08G 8/04 (20060101); C09D 161/06 (20060101);