Patents Issued in June 26, 2001
  • Patent number: 6251519
    Abstract: A friction member is provided which can suppress judder without impairing wear resistance. The friction member is prepared by impregnating or coating base fibers with a composition containing rubber and/or resin to prepare the yarn for the friction member, preshaping the yarn into an annular form, and then thermally molding the preshaped yarn. The friction member has porosity in a range from about 10% to about 20%.
    Type: Grant
    Filed: September 15, 2000
    Date of Patent: June 26, 2001
    Assignee: Exedy Corporation
    Inventors: Shozo Yamamura, Hisao Sanai, Takashi Hata
  • Patent number: 6251520
    Abstract: A method for producing a sized, coated ceramic fiber decreases mechanical damage to the fiber during weaving, and the sizing can be removed after weaving by heating at a low temperature.
    Type: Grant
    Filed: May 13, 1999
    Date of Patent: June 26, 2001
    Assignee: Dow Corning Corporation
    Inventors: John Donald Blizzard, Andrew Szweda, Gary Michael Wieber
  • Patent number: 6251521
    Abstract: Described are compositions comprising core/shell particles and fluoropolymer, wherein the core comprises an ultraviolet absorber, as well as multiphase polymeric compositions comprising a polymeric core phase and a polymeric shell phase, wherein the polymeric core phase comprises an ultraviolet absorber.
    Type: Grant
    Filed: August 9, 1999
    Date of Patent: June 26, 2001
    Assignee: 3M Innovative Properties Company
    Inventors: Gilbert L. Eian, Bret W. Ludwig, Milton H. Andrus, Jr.
  • Patent number: 6251522
    Abstract: A fullerene containing structure comprises an amorphous carbon base having a first amorphous carbon layer and a second amorphous carbon layer laminated together, and a giant fullerene formed in the neighborhood of layer interface of the amorphous carbon base straddling on both the amorphous carbon layers. A plurality of giant fullerenes generated in the neighborhood of the layer interface are connected together to form a continuum body such as a film structure (a film of giant fullerene) or the like. According to such the fullerene containing structure, a shape and a position to be formed of the giant fullerene, further a state of formation such as a connecting structure or the like can be controlled. In addition, the stable carbon base can protect the generated giant fullerene itself.
    Type: Grant
    Filed: December 23, 1999
    Date of Patent: June 26, 2001
    Assignees: Japan Science and Technology Corporation, Kabushiki Kaisha Toshiba
    Inventors: Shun-ichiro Tanaka, Bingshe Xu
  • Patent number: 6251523
    Abstract: There is provided a glass plate suitable for windows of automobile which shows small reflectivity at high incident angle and gives improved visibility. A film comprising the chain silica fine particles and silica of 5 to 30% by weight based on the weight of said chain silica fine particles and having a thickness of 110 to 250 nm is coated on at least one of the glass substrate surfaces, and dents and projections are formed on the surface of said film, by which a glass plate for an automobile which shows small reflectivity at high incident angle is provided.
    Type: Grant
    Filed: June 10, 1999
    Date of Patent: June 26, 2001
    Assignee: Nippon Sheet Glass Co, Ltd.
    Inventors: Kouji Takahashi, Hideki Okamoto
  • Patent number: 6251524
    Abstract: A colored film comprising gold, bismuth oxide and an oxide other than bismuth oxide.
    Type: Grant
    Filed: September 29, 1999
    Date of Patent: June 26, 2001
    Assignee: Asahi Glass Company Ltd.
    Inventors: Kenji Ishizeki, Yasuhiro Sanada, Satoshi Takeda, Akira Hirano
  • Patent number: 6251525
    Abstract: An article comprising an intaglio three-dimensional solid molded sculpture as an accurate model of an actual subject having selected features at least one of which is proportionally modified to have a proportion: ratio other than the proportion:ratio of the corresponding selected feature of the actual subject of 1:1 taken as a measurement ratio. Observation of the sculpture, under illumination, by a moving observer evoke, to said observer, a virtual illusory solid appearing reproduction of the actual subject, the modified feature of which appears to follow the moving observer. The preferred modification of the proportion:ratio being 1.3:1; the preferred range being between 0.8:1 and 1.8:1 as a measurement ratio.
    Type: Grant
    Filed: November 25, 1997
    Date of Patent: June 26, 2001
    Inventor: Peter Yau
  • Patent number: 6251526
    Abstract: The cast part (1) comprises a basic body (2) of a first material and a coating of at least one second material. The second material is applied to a co-cast skeleton structure (3) on the surface (20) of the basic body, with it forming a covering (4) or a covering layer (42).
    Type: Grant
    Filed: February 2, 1999
    Date of Patent: June 26, 2001
    Assignee: Sulzer Innotec AG
    Inventor: Fritz Staub
  • Patent number: 6251527
    Abstract: The present invention is directed to the application of a liner material which is corrosion resistant to a strong and tough core aluminum alloy which has been grooved out creating a liner bed resulting in an Alclad structure.
    Type: Grant
    Filed: April 13, 1999
    Date of Patent: June 26, 2001
    Assignee: Alcoa Inc.
    Inventors: Eric Victor Schelin, Lynn E. Oswald, Brian C. Reynolds, Cherlyn J. Augenstein
  • Patent number: 6251528
    Abstract: A method for plating a second metal directly to a first metal without utilizing a mask. A semiconductor substrate is provided including at least one metal feature and at least one insulating layer covering the metal feature and the substrate. At least one recess is formed in the at least one insulating layer thereby exposing at least a portion of the metal feature. At least one conductive barrier layer is formed over the insulating layer and the exposed portion of the metal feature. A plating seed layer of a first metal is formed over the at least one barrier layer. A photoresist layer is deposited over the plating seed layer. Portions of the photoresist layer and of the plating seed layer outside of the at least one recess are removed. Photoresist remaining in the at least one recess is removed. A second metal is electroplated to the plating seed layer in the recess.
    Type: Grant
    Filed: January 9, 1998
    Date of Patent: June 26, 2001
    Assignee: International Business Machines Corporation
    Inventors: Cyprian Emeka Uzoh, Daniel C. Edelstein
  • Patent number: 6251529
    Abstract: An Nb—Sn compound superconducting wire precursor comprising a matrix of a Cu-base metal, a plurality of composite filaments each composed of a niobium layer of an Nb-base metal and a titanium layer of pure Ti formed so as to be enveloped in the inside of the niobium layer, and Sn diffused in the matrix by heat treatment so as to be combined with the niobium layer to form a compound, the plurality of composite filaments being embedded in the matrix so as not to be in contact with one another.
    Type: Grant
    Filed: August 28, 1998
    Date of Patent: June 26, 2001
    Assignee: Mitsubishi Denki Kabushiki Kaisha
    Inventor: Yoshio Kubo
  • Patent number: 6251530
    Abstract: A thin-film of a high temperature superconducting compound having the formula M1-xCuO2-y, where M is Ca, Sr, or Ba, or combinations thereof, x is 0.05 to 0.3, and x>y. The thin film has a Tc (zero resistivity) of about 40 K. Also disclosed is a method of producing the superconducting thin film.
    Type: Grant
    Filed: August 18, 1992
    Date of Patent: June 26, 2001
    Assignee: Varian, Inc.
    Inventors: Ivan Bozovic, James N. Eckstein
  • Patent number: 6251531
    Abstract: A light-emitting material of the following general formula [1] for an organic electroluminescence device, wherein each of A1 to A4 is a substituted or unsubstituted aryl group having 6 to 16 carbon atoms, and each of R1 to R8 is independently a hydrogen atom, a halogen atom, a substituted or unsubstituted alkyl group, a substituted or unsubstituted alkoxy group, a substituted or unsubstituted aryl group or a substituted or unsubstituted amino group, provided that adjacent substituents may form an aryl ring.
    Type: Grant
    Filed: February 26, 1998
    Date of Patent: June 26, 2001
    Assignee: Toyo Ink Manufacturing Co., Ltd.
    Inventors: Toshio Enokida, Michiko Tamano, Satoshi Okutsu
  • Patent number: 6251532
    Abstract: A magnetic recording medium capable of reducing noise and an error rate of the medium comprises a nonmagnetic substrate; a magnetic layer formed on the surface of the nonmagnetic substrate directly or through a nonmagnetic underlayer; and a protective layer formed on the magnetic layer. The magnetic recording medium satisfies the following relationships: −0.5≦{Hc(1)−Hc(p)}/Hc(1)≦0.3 Hc(1)≧2 kOe 20 G×&mgr;m≦Br(1)×t≦100 G×&mgr;m where Hc(1) is a corecivity of the magnetic layer measured in the longitudinal direction; Hc(p) is a coercivity of the magnetic layer measured in the perpendicular direction; Br(1) is a remanent magnetization of the magnetic layer measured in the longitudinal direction; and “t” is a layer thickness of the magnetic layer.
    Type: Grant
    Filed: November 9, 1995
    Date of Patent: June 26, 2001
    Assignee: Hitachi, Ltd.
    Inventors: Masaaki Futamoto, Nobuyuki Inaba, Tomoo Yamamoto, Masukazu Igarashi, Yuzuru Hosoe, Akira Ishikawa
  • Patent number: 6251533
    Abstract: Ceramic laminate material comprising at least one dense layer of a perovskite material and at least one layer of a dense non-perovskite material and/or at least one layer of a dense superstructural perovskite material.
    Type: Grant
    Filed: September 24, 1999
    Date of Patent: June 26, 2001
    Assignee: Haldor Topsoe A/S
    Inventor: Niels Christiansen
  • Patent number: 6251534
    Abstract: The invention relates to a fuel cell cascade flow system. The system is designed so that under certain conditions the system includes two fuel cell stacks that form a fuel cell cascade, and under other conditions at least one reactant gas flows in parallel through the two fuel cell stacks.
    Type: Grant
    Filed: September 23, 1999
    Date of Patent: June 26, 2001
    Assignee: Plug Power Inc.
    Inventor: James F. McElroy
  • Patent number: 6251535
    Abstract: A battery case comprising a case body, a closure for closing an open end of the case body, a pivotal plate pivotally movably supported in the vicinity of an opening edge of another open end of the case body for covering this open end, and a spring attached to the case body externally thereof for biasing the pivotal plate inwardly of the case body. Contact pieces are provided on the closure and the pivotal plate each on the inner surface thereof and adapted to contact the positive electrode and the negative electrode of a battery respectively.
    Type: Grant
    Filed: March 26, 1999
    Date of Patent: June 26, 2001
    Assignee: Sanyo Electric Co., Ltd.
    Inventors: Hisao Yamada, Akihiro Suzuki
  • Patent number: 6251536
    Abstract: An electrochemical cell having a container with a closed bottom end, an open top end, and side walls extending between the bottom and top ends. The container has a plurality of beads extending radially inward formed near the open top end. Electrochemically active material is disposed in the container, and a seal assembly is disposed in the open end and supported by the plurality of beads.
    Type: Grant
    Filed: September 14, 1999
    Date of Patent: June 26, 2001
    Assignee: Eveready Battery Company, Inc.
    Inventor: Robert M. Janmey
  • Patent number: 6251537
    Abstract: A secondary battery having an improved sealing structure between electrode tabs acting as terminals of the battery, and a dielectric package, which is capable of preventing leakage of an organic electrolyte. The secondary battery includes a battery body having a positive electrode, a negative electrode and a separator which are stacked, and electrode tabs for inducing current generated therein to the outside; a dielectric package having upper and lower dielectric packages, for enclosing the battery body by sealing edge portions of the upper and lower dielectric packages while the electrode tabs are partially exposed to the outside; and sealing materials including sidearms, coated on predetermined portions of the electrode tabs by a predetermined width, for preventing leakage of an organic liquid electrolyte while being interposed and fused between the edge portions of the upper and lower dielectric packages.
    Type: Grant
    Filed: March 10, 1999
    Date of Patent: June 26, 2001
    Assignee: Samsung Display Devices, Ltd.
    Inventors: Han-sung Kim, Whan-jin Roh, Hyung-gon Noh
  • Patent number: 6251538
    Abstract: A nickel hydroxide positive active material for an alkaline battery contains nickel hydroxide powder having a nickel valence of greater than 2; and a cobalt compound having a cobalt valence of greater than 2, which is formed on the surface of said nickel hydroxide powder. For example, the surface of nickel oxyhydroxide powder is covered by cobalt oxyhydroxide layer. This positive active material is used as a starting material to produce an electrode by retaining it in a three-dimensional porous material.
    Type: Grant
    Filed: April 28, 1999
    Date of Patent: June 26, 2001
    Assignees: Japan Storage Battery Co., Ltd., GS-Melcotec Co., Ltd.
    Inventors: Yukitaka Seyama, Hideki Sasaki, Toshio Murata
  • Patent number: 6251539
    Abstract: The performance of alkaline cells comprising a zinc anode and manganese dioxide cathode can be improved, especially in high power application, by the addition of electrically conductive powders such as tin, copper, silver, magnesium, indium or bismuth to the anode mixture. The conductive powders are in physical mixture with the zinc particles. A preferred electrically conductive powder is tin powder. The alkaline cell to which the conductive powders are added preferably contain no added mercury and preferably are also essentially free of lead.
    Type: Grant
    Filed: June 14, 1999
    Date of Patent: June 26, 2001
    Assignee: The Gillette Company
    Inventors: Barbara Brys, Peter B. Harris
  • Patent number: 6251540
    Abstract: A composite electrode for an electrochemical device wherein the current collectors and carriers are incorporated into at least one of the electrodes, which are used in alkali metal electrochemical devices, and alkali metal-ion electrochemical devices, which current collectors and carriers are a net of metallized glass or ceramic fibers which may be plasma etched prior to metallizing, and which are woven or non-woven.
    Type: Grant
    Filed: January 12, 1998
    Date of Patent: June 26, 2001
    Assignee: Lithium Technology Corporation
    Inventor: Joseph B. Kejha
  • Patent number: 6251541
    Abstract: A partial collective mask for charged particle beam exposure of the present invention is capable of being easily reshaped in order to obviate snapping, defective contact draw and other critical faults. The mask is formed not only with openings constituting main patterns or device patterns, but also with openings constituting auxiliary patterns. When any one of the main patterns has a size short of a designed size and is apt to bring about snapping or similar critical fault, such a defective pattern and the auxiliary patterns adjoining it are jointed together so as to correct the size.
    Type: Grant
    Filed: April 27, 1998
    Date of Patent: June 26, 2001
    Assignee: NEC Corporation
    Inventor: Yasuhisa Yamada
  • Patent number: 6251542
    Abstract: A semiconductor wafer etching method is disclosed that allows etching without use of restricted ozone-destroying solvents such as trichloroethane or fluorocarbons. This method involves forming a protective film of silicon resin or alkali resistant resin on a semiconductor wafer. Then, a surface region of the wafer not covered by the protective film is etched. Finally, the protective film is peeled from the semiconductor wafer without damaging the wafer or employing solvents harmful to the environment.
    Type: Grant
    Filed: November 10, 1998
    Date of Patent: June 26, 2001
    Assignee: Nippondenso Co., Ltd.
    Inventors: Masahiro Tomita, Yasuo Souki, Motoki Ito, Kazuo Tanaka, Hiroshi Tanaka
  • Patent number: 6251543
    Abstract: A removable, reusable cover constructed such that its geometry matches the geometry of the active region of a projection electron lithography mask to be protected and does not etch in the plasma environment used to remove a photoresist. The cover protects the active region of the projection electron lithography mask, but does not contact the active region. A technique for fabricating a projection electron lithography mask utilizing the removable, reusable cover, where the geometry of the cover is matched to the geometry of an active region of the projection electron lithography mask to be protected. During fabrication of the projection electron lithography mask, the cover protects the active region of the projection electron lithography mask from the plasma environment, but does not contact the active region.
    Type: Grant
    Filed: June 14, 1999
    Date of Patent: June 26, 2001
    Assignee: Agere Systems Guardian Corp.
    Inventors: Carlos G. Caminos, Chester S. Knurek, Anthony E. Novembre
  • Patent number: 6251544
    Abstract: In an exposure dose measuring method for measuring an effective exposure dose on a wafer by printing mask patterns formed on a mask onto a resist coated on the wafer by exposure, each of the mask patterns has light transmitting sections and light shielding sections repeated in a period p, a ratio of areas of the light transmitting sections to areas of the light shielding sections of each of the mask patterns differs from ratios of those of the others of the mask patterns, and the period p is set so as to satisfy a relationship of p/M≦&lgr;/(1+&sgr;)NA, where an exposure light wavelength at the time of exposing the mask patterns is &lgr;, a numerical aperture at a wafer side is NA, an illumination coherence factor is &sgr;, and a mask pattern magnification for patterns to be formed on the wafer is M.
    Type: Grant
    Filed: June 17, 1999
    Date of Patent: June 26, 2001
    Assignee: Kabushiki Kaisha Toshiba
    Inventors: Soichi Inoue, Shinichi Ito, Kei Hayasaki
  • Patent number: 6251545
    Abstract: The present invention provides a method and system for improving the transmission of light through a photomask. The method includes providing a photomask substrate, and applying at least one anti-reflection coating to at least one side of the photomask substrate. The anti-reflection coating reduces the loss of light during lithography due to reflections. This increases the efficiency of the lithography. The method and system has the added advantage of reducing the amount of undesired exposure of a photoresist.
    Type: Grant
    Filed: July 20, 1999
    Date of Patent: June 26, 2001
    Assignee: Advanced Micro Devices, Inc.
    Inventor: Harry J. Levinson
  • Patent number: 6251546
    Abstract: An improved attenuated phase-shifting mask (APSM) for use with an imaging tool for forming a patterned feature on a photoresist layer of a semiconductor wafer. The APSM has a transmissive region for substantially transmitting light therethrough to form a projected image substantially shaped as the patterned feature on the photoresist layer. The APSM also has an attenuating and phase-shifting region, contiguous with the transmissive region, for absorbing a portion of the light incident thereon and for shifting the phase of the incident light by a predetermined number of degrees relative to that of the light transmitted through the transmissive region so as to destructively interfere with the light transmitted through the transmissive region and to project a background image.
    Type: Grant
    Filed: September 16, 1999
    Date of Patent: June 26, 2001
    Assignee: Agere Systems Guardian Corp.
    Inventors: Raymond Andrew Cirelli, Omkaram Nalamasu, Stanley Pau, George Patrick Watson
  • Patent number: 6251547
    Abstract: A simple, cost-effective method for forming a lithography mask with a directly imaged portion and an attenuated, phase shifted portion. In particular, the use of such a method for forming an outrigger-type phase shift mask. The mask is formed on a blank consisting of a transparent quartz substrate over which is an attenuating phase shift layer and an optically opaque layer, by a process that produces a pattern in an E-beam sensitive resist with two different E-beam energy depositions. The higher energy deposition is used to form the main pattern, while the lower energy deposition forms the pattern for the outrigger.
    Type: Grant
    Filed: October 22, 1999
    Date of Patent: June 26, 2001
    Assignee: Taiwan Semiconductor Manufacturing Company
    Inventors: San-De Tzu, Chia-Hui Lin, Wei-Zen Chou
  • Patent number: 6251548
    Abstract: A composition for forming a non-conductive light-shielding layer, comprising an alkali-soluble binder, a pigment, a photopolymerizable monomer, a photopolymerization initiator and a solvent, wherein the pigment comprises fine particles of a double oxide selected from CuMn2O4, and CuMn2O4 in which a part of Mn is substituted with Fe, Co and/or Ni.
    Type: Grant
    Filed: October 1, 1999
    Date of Patent: June 26, 2001
    Assignee: Dai Nippon Printing Co., Ltd.
    Inventors: Syunsuke Sega, Toshio Yoshihara, Kyoko Kogo, Junzo Nagashima
  • Patent number: 6251549
    Abstract: The fine dark features in the images projected from strong phase-shifting masks used for microdevice lithography are accompanied by 180° shifts in the optical phase, produced by a topography pattern distinct from the pattern of apertures that define the bright features. A generic topography pattern can be formed on the substrate underlying a continuous opaque mask layer which subsequently is patterned with a device-specific array of apertures. When the image projected from a phase-shifting mask comprised of the generic topography pattern and the device-specific aperture pattern is combined with a device-specific image projected from an associated conventional photomask, the photoresist pattern that results corresponds to desired device layers with the imaging advantages of strong phase-shifting masks, but without the need for specific patterning of the topography pattern.
    Type: Grant
    Filed: October 28, 1999
    Date of Patent: June 26, 2001
    Inventor: Marc David Levenson
  • Patent number: 6251550
    Abstract: A maskless photolithography system for use in photolithography of a desired mask pattern on a photo resist coated subject includes a light source for projecting a collimated beam of light, a first lens system, and a pattern generator. The pattern generator is for generating the desired mask pattern according to prescribed mask pattern information. Upon receipt of the prescribed mask pattern information, the pattern generator generates a resident mask pattern therein to be imaged upon the photo resist coated subject. A mask pattern design system is provided for outputting the prescribed mask pattern information corresponding to the desired mask pattern to the pattern generator. The maskless photolithography system further includes a second lens system and a subject stage.
    Type: Grant
    Filed: July 7, 1999
    Date of Patent: June 26, 2001
    Assignee: Ball Semiconductor, Inc.
    Inventor: Akira Ishikawa
  • Patent number: 6251551
    Abstract: The invention concerns a method and a device for treating and processing flat substrates such as silicon slices (wafers) for producing microelectronic components in vertical alignment.
    Type: Grant
    Filed: January 14, 2000
    Date of Patent: June 26, 2001
    Inventor: Horst Kunze-Concewitz
  • Patent number: 6251552
    Abstract: A conductive layer 5 and a photoconductive layer 7 are laminated on a support member 3 made of resin so that an electrophotographic master plate for printing is constituted. Electrode portions 5a electrically connected to the conductive layer 5 are formed on the widthwise directional side surfaces. When development is performed, the electrode portions 5a are brought into contact with an electrode 11 adjacent to the support member 3 so that the potential of the conductive layer 5 is made to be the same as the potential of the electrode 11 adjacent to the support member 3 though the electrode portions 5a. The developing apparatus has a structure for causing the electrode portions 5a to be grounded by a provided roller made of stainless steel and/or a conductive brush.
    Type: Grant
    Filed: February 3, 2000
    Date of Patent: June 26, 2001
    Assignee: Fuji Photo Film Co., Ltd.
    Inventors: Takao Nakayama, Chiaki Kawamoto
  • Patent number: 6251553
    Abstract: The use of mixed-crystal pigments of the quinacridone series consisting of a) from 85 to 99% by weight of unsubstituted &bgr;-phase quinacridone of the formula (I) in which R1 and R2 are hydrogen atoms, and b) from 1 to 15% by weight of one or more substituted quinacridones of the formula (I) in which the substituents R1 and R2 are identical or different and are chlorine, bromine or fluorine atoms or C1-C4-alkyl, C1-C4-alkoxy or carboxamido groups, which can be substituted by C1-C6-alkyl groups, and R1 can additionally be hydrogen, as colorants in electrophotographic toners and developers, powder coating materials, inkjet inks, electret fibers, and color filters.
    Type: Grant
    Filed: November 23, 1999
    Date of Patent: June 26, 2001
    Assignee: Clariant GmbH
    Inventors: Ruediger Baur, Manfred Urban, Hans-Tobias Macholdt, Dieter Schnaitmann, Martin Boehmer
  • Patent number: 6251554
    Abstract: A carrier comprised of a core and thereover a polymer generated from (1) a polymer containing amine groups, and (2) a second polymer containing sulfonic functional groups.
    Type: Grant
    Filed: March 29, 2000
    Date of Patent: June 26, 2001
    Assignee: Xerox Corporation
    Inventors: Thomas R. Hoffend, Robert D. Bayley, Carol A. Fox, John G. VanDusen, Scott M. Silence, K. Derek Henderson
  • Patent number: 6251555
    Abstract: Black magnetic composite particles for black magnetic toner according to the present invention comprise: magnetite particle as core particle; fine particles which are adhered or exist on at least a part of the surface of each magnetite particle, and comprise oxides, oxide hydroxides or oxides and oxide hydroxides composed of at least one element selected from the group consisting of Si, Zr, Ti, Al and Ce; and a methyl hydrogen polysiloxane coating layer formed on said fine particles or said fine particles and the exposed surface of the magnetite particle, the average particle size of said black magnetic composite particles being 0.08 to 1.0 &mgr;m. Such black magnetic composite particles are suitable for a black magnetic toner which can exhibit not only an excellent flowability but also a high volume resistivity.
    Type: Grant
    Filed: April 14, 1999
    Date of Patent: June 26, 2001
    Assignee: Toda Kogyo Corporation
    Inventors: Kazuyuki Hayashi, Seiji Ishitani, Yasuyuki Tanaka, Hiroko Morii
  • Patent number: 6251556
    Abstract: Provided is a toner used for developing an electrostatic image using as a binder resin, a low molecular weight polymer having less odor, which is obtained by emulsion-polymerizing a radical-polymerizable unsaturated monomer at a temperature of 115° C. or higher in the presence or absence of a chain transfer agent.
    Type: Grant
    Filed: December 10, 1999
    Date of Patent: June 26, 2001
    Assignee: Fuji Xerox Co Ltd
    Inventors: Eiichi Yoshida, Manabu Ogawa, Hiroshi Masuda, Hiroshi Serizawa
  • Patent number: 6251557
    Abstract: The invention relates to a photosensitive resin composition for rapid prototyping comprising: a. about 30 wt. % to about 70 wt. % of at least two epoxy resins, at least one of these resins is solid at room temperature and comprises aromatic groups, and at least one of these resins is liquid, having a viscosity at 25° C. lower than about 1,000 Pa.s, b. about 15 wt. % to about 50 wt. % of at least one multifunctional acrylate compound c. about 5 wt. % to about 30 wt. % of a hydroxyfunctional compound d. about 1 wt. % to about 6 wt. % cationic photoinitiator e. about 1 wt. % to about 6 wt. % free radical photoinitiator. The invention further relates to a process for the manufacturing of 3-dimensional objects, known as rapid prototyping, wherein the photosensitive resin composition is used.
    Type: Grant
    Filed: September 7, 1999
    Date of Patent: June 26, 2001
    Assignee: DSM N.V.
    Inventors: Stephen C. Lapin, Michael G. Sullivan
  • Patent number: 6251558
    Abstract: A chemically amplified resist contains the following components: a polymer with carboxylic acid anhydride groups and tert-butylester, tert-butoxycarbonyloxy, tetrahydrofuranyl, or tetrahydropyranyl groups; a photoreactive compound which, when exposed or electron-irradiated, releases a sulfonic acid having a pKa value>0.5 (acid former); a compound that can enter into a reversible chemical reaction with the sulfonic acid (buffer compound); and a solvent.
    Type: Grant
    Filed: April 23, 1998
    Date of Patent: June 26, 2001
    Assignee: Siemens Aktiengesellschaft
    Inventors: Klaus Elian, Rainer Leuschner, Ewald Guenther
  • Patent number: 6251559
    Abstract: Imagable articles comprising positive working polymeric resins coating onto substrates are given a heat treatment comprising their controlled slow cooling from an elevated temperature. The imagable articles include precursors for lithographic printing plates and for printed circuits. The controlled slow cooling improves the development characteristics of the coatings after an imaging step.
    Type: Grant
    Filed: August 3, 1999
    Date of Patent: June 26, 2001
    Assignee: Kodak Polychrome Graphics LLC
    Inventors: Jianbing Huang, Jerome Kesselman
  • Patent number: 6251560
    Abstract: Acid-catalyzed positive photoresist compositions which are imageable with 193 nm radiation (and possibly other radiation) and are developable to form photoresist structures of improved development characteristics and improved etch resistance are enabled by the use of resist compositions containing cyclic olefin polymer having a cyclic olefin monomer having a lactone moiety, the monomer having no oxygen atoms intervening between the lactone moiety and a ring of the cyclic olefin. Preferred lactone moieties are spirolactones (having a 5 or 6 membered ring) directly to a cyclic olefin ring.
    Type: Grant
    Filed: May 5, 2000
    Date of Patent: June 26, 2001
    Assignee: International Business Machines Corporation
    Inventors: Thomas I. Wallow, Robert D. Allen, Phillip Joe Brock, Richard Anthony DiPietro, Hiroshi Ito, Hoa Dao Truong, Pushkara Rao Varanasi
  • Patent number: 6251561
    Abstract: A recording film is formed by a vacuum film formation process. The recording film contains a substance which is thermally decomposed when a recording laser beam is irradiated thereto. When the substance is thermally decomposed by irradiation of the recording laser beam, a substance generated by the thermal decomposition is precipitated within the recording film, and therefore a change in complex index of refraction is caused predominantly over the raising deformation in the recording film. As a result, information is recorded in the recording film while causing almost no raising deformation of the recording film.
    Type: Grant
    Filed: January 22, 1999
    Date of Patent: June 26, 2001
    Assignee: Denso Corporation
    Inventors: Shoichi Kawai, Hironari Kuno, Naoki Sano, Ryoichi Sugawara
  • Patent number: 6251562
    Abstract: An antireflective composition includes a polyphenolic polymer which has a first repeating unit and a second repeating unit of the formula: wherein each of R1, R2, R3, R4, and R5 is individually a hydroxy group, hydrogen or an azo dye and only one of R1, R2, R3, R4, and R5 is a hydroxy group.
    Type: Grant
    Filed: December 23, 1998
    Date of Patent: June 26, 2001
    Assignee: International Business Machines Corporation
    Inventors: Gregory Breyta, Thomas Carl Clarke, Daniel Joseph Dawson, Ronald P. Esch, Alfred Floyd Renaldo
  • Patent number: 6251563
    Abstract: According to the present invention there is provided a method for making lithographic printing plates including the following steps a) preparing a heat mode imaging element consisting of a lithographic base with a hydrophilic surface and a top layer which top layer is sensitive to IR-radiation, comprises a polymer, soluble in an aqueous alkaline solution and is unpenetrable for an alkaline developer containing SiO2 as silicates; b) exposing imagewise said heat mode imaging element to IR-radiation; c) developing said imagewise exposed heat mode imaging element with said alkaline developer so that the exposed areas of the top layer are dissolved and the unexposed areas of the top layer remain undissolved characterized in that said top layer includes an IR-absorbing pigment and 3,4,5-trimethoxybenzoic acid or a benzophenone.
    Type: Grant
    Filed: September 30, 1998
    Date of Patent: June 26, 2001
    Assignee: Agfa-Gevaert, N.V.
    Inventors: Marc Van Damme, Joan Vermeersch, Guido Hauquier, Eric Verscheuren
  • Patent number: 6251564
    Abstract: A method for forming a pattern with both a logic-type and, a memory-type circuit is disclosed. The method includes first providing a wafer which includes a photoresist layer, then covering the photoresist layer with a first mask including an opaque area and a first pattern area. Forming a first pattern on the photoresist layer by a first exposure. Covering the photoresist layer with a second mask after the first mask is removed. Moreover, a second pattern is printed on the photoresist layer by a second exposure. Finally, the second mask is removed. The double-exposure method will enhance the resolution of the pattern defined on the photoresist layer.
    Type: Grant
    Filed: May 17, 1999
    Date of Patent: June 26, 2001
    Assignee: United Microelectronics Corp.
    Inventors: Chin-Lung Lin, Yao-Ching Ku
  • Patent number: 6251565
    Abstract: A method of making molds for use in manufacturing high precision and high density multiple-lead microstructures. If employs microphoto etching process used in semiconductor manufacturing process to project X-ray and ultraviolet light on a photoresist layer through a X-ray co-mask and a generally used mask to produce exposing process. Through etching and electroplating processes, a plurality of identical punch molds may be made. The punch molds are aligned stacked up one upon the other until a desired height is reached. The stacked up punch molds are electroplated to form a lead punch die for producing microparts desired.
    Type: Grant
    Filed: August 16, 1999
    Date of Patent: June 26, 2001
    Assignee: Industrial Technology Research Institute
    Inventors: Hsi-Harng Yang, Min-Chieh Chou, Cheng-Tang Pan, Chuan-Kang Mu
  • Patent number: 6251566
    Abstract: A cylindrical lenticular image is made possible by the interlacing of multiple views of an object. The interlaced views are then printed on a substrate and the substrate formed into a cylinder. A lenticular lens is then properly aligned with the substrate. The result is a three dimensional image that can be rotated to reveal a full three-hundred and sixty degree perspective of the imaged object.
    Type: Grant
    Filed: October 14, 1997
    Date of Patent: June 26, 2001
    Inventors: Scott Brosh, Phil Gottfried
  • Patent number: 6251567
    Abstract: A method for manufacturing a microstructured body, comprises irradiating a material with a pattern of X-rays; and dissolving selectively irradiated or non-irradiated regions of the material, where the material comprises a light-hardening and/or UV-hardening epoxy coating. The epoxy coatings need shorter irrdiation times than known plastics. The resulting microstructures can have high aspect ratios, and the developed structures can be produced with greater structure depths, free of defects and faults. Structural precision is in the submicron range.
    Type: Grant
    Filed: September 21, 1998
    Date of Patent: June 26, 2001
    Assignee: Microparts Gesellschaft
    Inventors: Holger Reinecke, Norbert Kapitza, Ralph-Ulrich Ballhorn, Ulrike Spitzner, Bernhard Schaefermeier
  • Patent number: 6251568
    Abstract: The present invention relates to method and apparatus for removing photoresist material from a wafer surface. In particular, the present invention employs a dry strip process to remove photoresist material that remains after conductive material has been etched to form conductive features. The inventive process includes a reactive ion strip process that includes fluorine, which forms salts with conductive material embedded in the photoresist material. The salts are then removed from the wafer surface by dissolving them in a solvent such as deionized water.
    Type: Grant
    Filed: February 9, 1999
    Date of Patent: June 26, 2001
    Assignee: Conexant Systems Inc.
    Inventors: Shao-Wen Hsia, Peter Y. Huang