Patents Issued in March 8, 2016
-
Patent number: 9281192Abstract: An IC device manufacturing process effectuates a planar recessing of material that initially varies in height across a substrate. The method includes forming a polymer coating, CMP to form a planar surface, then plasma etching to effectuate a planar recessing of the polymer coating. The material can be recessed together with the polymer coating, or subsequently with the recessed polymer coating providing a mask. Any of the material above a certain height is removed. Structures that are substantially below that certain height can be protected from contamination and left intact. The polymer can be a photoresist. The polymer can be provided with suitable adhesion and uniformity for the CMP process through a two-step baking process and by exhausting the baking chamber from below the substrate.Type: GrantFiled: May 13, 2014Date of Patent: March 8, 2016Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.Inventors: Wen-Kuei Liu, Teng-Chun Tsai, Kao-Feng Liao, Yu-Ting Yen, Yu-Chung Su
-
Patent number: 9281193Abstract: A method includes forming a first pattern having a first feature of a first material on a semiconductor substrate. A second pattern with a second feature and third feature of a second material, interposed by the first feature, is formed on the semiconductor substrate. Spacer elements then are formed on sidewalls of the first feature, the second feature, and the third feature. After forming the spacer elements, the second material comprising the second and third features is selectively removed to form a first opening and a second opening. The first feature, the first opening and the second opening are used as a masking element to etch the target layer.Type: GrantFiled: June 3, 2015Date of Patent: March 8, 2016Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.Inventors: Yen-Chun Huang, Chih-Ming Lai, Ken-Hsien Hsieh, Ming-Feng Shieh
-
Patent number: 9281194Abstract: An ohmic electrode (6) of a silicon carbide semiconductor apparatus is fabricated by forming an ohmic metal film on a silicon carbide substrate (1) by sputtering a target including a mixture or an alloy having therein nickel, and a metal(s) reducing the magnetic permeability of nickel and producing a carbide, where compositional ratios of the mixture or alloy are adjusted to predetermined compositional ratios, and by executing heat treatment for the ohmic metal film to calcinate the ohmic metal film. Thus, the ohmic electrode (6) that is for the silicon carbide semiconductor apparatus and capable of improving the use efficiency of the target can be manufactured, whose film thickness is even and that does not peel off.Type: GrantFiled: March 18, 2013Date of Patent: March 8, 2016Assignee: FUJI ELECTRIC CO., LTD.Inventors: Mina Ryo, Shinichi Nakamata, Akimasa Kinoshita, Kenji Fukuda
-
Patent number: 9281195Abstract: A semiconductor structure has a MOSFET and a substrate to accommodate the MOSFET. The MOSFET has a gate, a source, and a drain in the substrate. A first substrate region surrounding the MOSFET is doped with a stress enhancer, wherein the stress enhancer is configured to generate a tensile stress in the MOSFET's channel and the tensile stress is along the channel's widthwise direction.Type: GrantFiled: April 2, 2013Date of Patent: March 8, 2016Assignee: MACRONIX International Co., Ltd.Inventors: Guan Wei Wu, Yao Wen Chang, I Chen Yang, Tao Cheng Lu
-
Patent number: 9281196Abstract: The present disclosure relates to a method of forming a transistor device. In this method, first and second well regions are formed within a semiconductor substrate. The first and second well regions have first and second etch rates, respectively, which are different from one another. Dopants are selectively implanted into the first well region to alter the first etch rate to make the first etch rate substantially equal to the second etch rate. The first, selectively implanted well region and the second well region are etched to form channel recesses having equal recess depths. An epitaxial growth process is performed to form one or more epitaxial layers within the channel recesses.Type: GrantFiled: February 7, 2014Date of Patent: March 8, 2016Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.Inventors: Tsan-Chun Wang, Ziwei Fang, Chii-Horng Li, Tze-Liang Lee, Chao-Cheng Chen, Syun-Ming Jang
-
Patent number: 9281197Abstract: A semiconductor wafer is set in a laser irradiation apparatus, and laser beam irradiation is performed while the semiconductor wafer is moved. At this time, a laser beam emitted from a laser generating apparatus is condensed by a condensing lens so that the condensing point (focal point) is positioned at a depth of several tens of?m or so from one surface of the semiconductor wafer. Thereby, the crystal structure of the semiconductor wafer in the position having such a depth is modified, and a gettering sink is formed.Type: GrantFiled: October 16, 2009Date of Patent: March 8, 2016Assignee: SUMCO CORPORATIONInventor: Kazunari Kurita
-
Patent number: 9281198Abstract: A method of forming a semiconductor device is disclosed. The method includes forming a first dielectric layer on a substrate; forming a set of bias lines on the first dielectric layer; covering the set of bias lines with a second dielectric layer; forming a semiconductor layer on the second dielectric layer; and forming a set of devices on the semiconductor layer above the set of bias lines.Type: GrantFiled: May 23, 2013Date of Patent: March 8, 2016Assignee: GlobalFoundries, Inc.Inventors: Thomas N. Adam, Kangguo Cheng, Ali Khakifirooz, Alexander Reznicek, Raghavasimhan Sreenivasan
-
Patent number: 9281199Abstract: A method for fabricating a semiconductor device includes the following steps. First, a first interlayer dielectric is formed on a substrate. Then, a gate electrode is formed on the substrate so that the periphery of the gate electrode is surrounded by the first interlayer dielectric. Afterwards, a patterned mask layer is formed on the gate electrode, and a bottom surface of the patterned mask layer is level with a top surface of the first interlayer dielectric. A spacer is then formed on each sidewall of the gate electrode. Subsequently, a second interlayer dielectric is formed to cover a top surface and each side surface of the patterned mask layer. Finally, a self-aligned contact structure is formed in the first interlayer dielectric and the second interlayer dielectric.Type: GrantFiled: March 5, 2015Date of Patent: March 8, 2016Assignee: UNITED MICROELECTRONICS CORP.Inventors: Ching-Wen Hung, Chih-Sen Huang, Po-Chao Tsao, Shih-Fang Tzou
-
Patent number: 9281200Abstract: When forming sophisticated semiconductor-based gate electrode structures of transistors, the pre-doping of one type of gate electrode structure may be accomplished after the actual patterning of the electrode material by using an appropriate mask or fill material for covering the active regions and using a lithography mask. In this manner, a high degree of flexibility is provided with respect to selecting an appropriate patterning regime, while at the same time a uniform and superior cross-sectional shape for any type of gate electrode structure is obtained.Type: GrantFiled: July 25, 2011Date of Patent: March 8, 2016Assignee: GLOBALFOUNDRIES Inc.Inventors: Hans-Juergen Thees, Sven Beyer, Martin Mazur, Steffen Laufer
-
Patent number: 9281201Abstract: A method of manufacturing a semiconductor device having a metal gate is provided. A substrate having a first conductive type transistor and a second conductive type transistor formed thereon is provided. The first conductive type transistor has a first trench and the second conductive type transistor has a second trench. A first work function layer is formed in the first trench. A hardening process is performed for the first work function layer. A softening process is performed for a portion of the first work function layer. A pull back step is performed to remove the portion of the first work function layer. A second work function layer is formed in the second trench. A low resistive metal layer is formed in the first trench and the second trench.Type: GrantFiled: September 18, 2013Date of Patent: March 8, 2016Assignee: UNITED MICROELECTRONICS CORP.Inventors: Ted Ming-Lang Guo, Chiu-Hsien Yeh, Chin-Cheng Chien, Chun-Yuan Wu
-
Patent number: 9281202Abstract: A nonvolatile memory cell and a method for fabricating the same can secure stable operational reliability as well as reducing a cell size. The nonvolatile memory cell includes a drain region formed in a substrate, a source region formed in the substrate to be separated from the drain region, a floating gate formed over the substrate between the drain region and the source region, a halo region formed in the substrate in a direction that the drain region is formed, a dielectric layer formed on sidewalls of the floating gate, and a control gate formed over the dielectric layer to overlap with at least one sidewall of the floating gate.Type: GrantFiled: October 23, 2009Date of Patent: March 8, 2016Assignee: MagnaChip Semiconductor, Ltd.Inventors: Tae-Ho Choi, Jung-Hwan Lee, Heung-Gee Hong, Jeong-Ho Cho, Min-Wan Choo, Il-Seok Han
-
Patent number: 9281203Abstract: Some embodiments of the present disclosure relate to a method that achieves a substantially uniform pattern of discrete storage elements comprising a substantially equal size within a memory cell. A copolymer solution comprising first and second polymer species is spin-coated onto a surface of a substrate and subjected to self-assembly into a phase-separated material comprising a regular pattern of micro-domains of the second polymer species within a polymer matrix comprising the first polymer species. The first or second polymer species is then removed resulting with a pattern of micro-domains or the polymer matrix with a pattern of holes, which may be utilized as a hard-mask to form a substantially identical pattern of discrete storage elements through an etch, ion implant technique, or a combination thereof.Type: GrantFiled: August 23, 2013Date of Patent: March 8, 2016Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.Inventors: Chih-Ming Chen, Cheng-Te Lee, Szu-Yu Wang, Chung-Yi Yu, Chia-Shiung Tsai, Xiaomeng Chen
-
Patent number: 9281204Abstract: A semiconductor device is provided which includes a GaN-on-SiC substrate (50-51) and a multi-layer passivation stack (52-54) in which patterned step openings (76) are defined and filled with gate metal layers using a lift-off gate metal process to form a T-gate electrode (74) as a stepped gate electrode having sidewall extensions and a contact base portion with one or more gate ledges.Type: GrantFiled: April 23, 2014Date of Patent: March 8, 2016Assignee: Freescale Semiconductor, Inc.Inventors: Karen E. Moore, Bruce M. Green
-
Patent number: 9281205Abstract: A method for etching an ultra thin film is provided which includes providing a substrate having the ultra thin film formed thereon, patterning a photosensitive layer formed over the ultra thin film, etching the ultra thin film using the patterned photosensitive layer, and removing the patterned photosensitive layer. The etching process includes utilizing an etch material with a diffusion resistant carrier such that the etch material is prevented from diffusing to a region underneath the photosensitive layer and removing portions of the ultra thin film underneath the photosensitive layer.Type: GrantFiled: January 6, 2014Date of Patent: March 8, 2016Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.Inventors: Chia-Chu Liu, Kuei-Shun Chen, Shang-Wern Chang, Chih-Yang Yeh
-
Patent number: 9281206Abstract: Methods, systems, and devices are described for slicing and shaping materials using magnetically guided chemical etching. In one aspect, a method includes forming a pattern on a substrate by a mask, depositing a catalytic etcher layer on the patterned substrate, a magnetic guide layer on the etcher layer, and a protection layer on the guide layer, etching the substrate by applying an etching solution to the substrate that chemically reacts with the etcher layer and etches material from the substrate at exposed regions not covered by the mask, steering the composite etching structure into the substrate during the etching by an applied magnetic field that creates a force on the guide layer to direct the etching, in which the steering defines the shape of the sliced regions of the etched substrate, and removing the etched material, the mask, and the composite etching structure to produce a sliced material structure.Type: GrantFiled: October 12, 2012Date of Patent: March 8, 2016Assignee: THE REGENTS OF THE UNIVERSITY OF CALIFORNIAInventors: Sungho Jin, Young Oh, Chulmin Choi, Dae-Hoon Hong, Tae Kyoung Kim
-
Patent number: 9281207Abstract: Solution processible hardmasks are described that can be formed from aqueous precursor solutions comprising polyoxometal clusters and anions, such as polyatomic anions. The solution processible metal oxide layers are generally placed under relatively thin etch resist layers to provide desired etch contrast with underlying substrates and/or antireflective properties. In some embodiments, the metal oxide hardmasks can be used along with an additional hardmask and/or antireflective layers. The metal oxide hardmasks can be etched with wet or dry etching. Desirable processing improvements can be obtained with the solution processible hardmasks.Type: GrantFiled: February 28, 2012Date of Patent: March 8, 2016Assignee: Inpria CorporationInventors: Jason K. Stowers, Stephen T. Meyers, Michael Kocsis, Douglas A. Keszler, Andrew Grenville
-
Patent number: 9281208Abstract: A method of forming a semiconductor structure can include forming a photolithography mask on a silicon fin having a hard mask layer thereon extending in a first direction. A trench can be formed through the hard mask layer into the silicon fin using the photolithography mask, where the trench extends in a second direction to separate the silicon fin into first and second fin structures extending end-to-end in the first direction. A portion of the trench formed by the hard mask layer can be widened relative to a lower portion of the trench defined by the first and second fin structures.Type: GrantFiled: January 28, 2014Date of Patent: March 8, 2016Assignee: Samsung Electronics Co., Ltd.Inventors: Sung-Min Kim, Ji-Su Kang, Dong-Kyu Lee, Dong-Ho Cha
-
Patent number: 9281209Abstract: A method for fabricating semiconductor device is disclosed. The method includes the steps of: providing a substrate; forming a material layer on the substrate; forming a patterned first hard mask on the material layer; forming a patterned second hard mask on the material; utilizing the patterned first hard mask and the patterned second hard mask to remove part of the material layer for forming sacrificial mandrels; forming sidewall spacers adjacent to the sacrificial mandrels; removing the sacrificial mandrels; and using the sidewall spacers to remove part of the substrate.Type: GrantFiled: October 7, 2014Date of Patent: March 8, 2016Assignee: UNITED MICROELECTRONICS CORP.Inventors: Li-Wei Feng, Ssu-I Fu, Shih-Hung Tsai, Yu-Hsiang Hung, Jyh-Shyang Jenq
-
Patent number: 9281210Abstract: Disclosed are a chemical-mechanical polishing composition and a method of polishing a substrate. The polishing composition comprises low average particle size (e.g., 30 nm or less) wet-process ceria abrasive particles, at least one alcohol amine, and water, wherein said polishing composition has a pH of about 6. The polishing composition can be used, e.g., to polish any suitable substrate, such as a polysilicon wafer used in the semiconductor industry.Type: GrantFiled: October 10, 2013Date of Patent: March 8, 2016Assignee: Cabot Microelectronics CorporationInventors: Brian Reiss, Jeffrey Dysard, Sairam Shekhar
-
Patent number: 9281211Abstract: An interconnect structure includes a first dielectric material having an undercut region located at an upper surface thereof. A first conductive structure is located above a first area of the undercut region. The first conductive structure comprises a first conductive metal portion having a diffusion barrier portion located on one sidewall surface of the first conductive metal portion and having a metal liner located on another sidewall surface and a bottom surface of the first conductive metal portion. A second conductive structure is located above a second area of the undercut region. The second conductive structure comprises a second conductive material portion having a diffusion barrier portion located on one sidewall surface of the second conductive material portion and having a metal liner located on another sidewall surface and a bottom surface of the second conductive metal portion. A gap is located between the first and second conductive structures.Type: GrantFiled: February 10, 2014Date of Patent: March 8, 2016Assignee: International Business Machines CorporationInventors: Chih-Chao Yang, Stephan A. Cohen, Eric G. Liniger
-
Patent number: 9281212Abstract: A process for patterning a hard mask material with line-space patterns below a 30 nm pitch and a 15 nm critical dimension by employing a spin-on titanium-silicon (TiSi) polymer or oligomer as a tone inversion material is provided. The spin-on TiSi material is spin-coated over a patterned OPL that includes a first pattern generated from a DSA based process. The spin-on TiSi material fill trenches within the patterned OPL to form a tone inverted pattern by removing the patterned OPL selective to the spin-on TiSi material. The inverted pattern is a complementary pattern to the first pattern, and is transferred into the underlying hard mask material by an anisotropic etch.Type: GrantFiled: October 17, 2014Date of Patent: March 8, 2016Assignee: International Business Machines CorporationInventors: Martin Glodde, Wu-Song Huang, Hiroyuki Miyazoe, Ratnam Sooriyakumaran, Hsinyu Tsai
-
Patent number: 9281213Abstract: A process of forming an integrated circuit forms a high precision capacitor bottom plate with a metallic surface and performs a plasma treatment of the metallic surface. A high precision capacitor dielectric is formed by depositing a first layer of the capacitor dielectric on the high precision capacitor bottom plate wherein the first layer is silicon nitride, depositing a second layer of the capacitor dielectric on the first layer wherein the second portion is silicon dioxide, and depositing a third layer of the capacitor dielectric on the second portion wherein the third layer is silicon nitride. Plasma treatments may also be performed on the layers of capacitor dielectric pre- and/or post-deposition. A metallic high precision capacitor top plate is formed on the high precision capacitor dielectric.Type: GrantFiled: December 19, 2014Date of Patent: March 8, 2016Assignee: TEXAS INSTRUMENTS INCORPORATEDInventors: John Paul Campbell, Kaiping Liu
-
Patent number: 9281215Abstract: Embodiments of mechanisms for forming a semiconductor device are provided. The semiconductor device includes a semiconductor substrate. The semiconductor device also includes an isolation structure in the semiconductor substrate and surrounding an active region of the semiconductor substrate. The semiconductor device includes a gate over the semiconductor substrate. The gate has an intermediate portion over the active region and two end portions connected to the intermediate portion. Each of the end portions has a first gate length longer than a second gate length of the intermediate portion and is located over the isolation structure.Type: GrantFiled: November 14, 2013Date of Patent: March 8, 2016Assignee: Taiwan Semiconductor Manufacturing Co., LTD.Inventors: Jung-Chi Jeng, I-Chih Chen, Wen-Chang Kuo, Ying-Hao Chen, Ru-Shang Hsiao, Chih-Mu Huang
-
Patent number: 9281216Abstract: A manufacturing method of an epitaxial silicon wafer includes: an epitaxial-film-growth step in which an epitaxial film is grown on a silicon wafer in a reaction container, and a temperature reduction step in which a temperature of the epitaxial silicon wafer is reduced from a temperature at which the epitaxial film is grown. In the temperature reduction step, a temperature reduction rate of the epitaxial silicon wafer is controlled to satisfy a relationship represented by R?2.0×10-4X?2.9, where X (?·cm) represents a resistivity of the silicon wafer, and R (degrees C./min) represents the temperature reduction rate for lowing the temperature of the epitaxial silicon wafer from 500 degrees C. to 400 degrees C.Type: GrantFiled: June 30, 2015Date of Patent: March 8, 2016Assignee: SUMCO CORPORATIONInventors: Kazuhisa Torigoe, Toshiaki Ono
-
Patent number: 9281217Abstract: A method of manufacturing a semiconductor memory device includes forming a first attached layer on a substrate, forming a stack layer on the first attached layer, separating the stack layer and the first attached layer from each other, forming vertical holes by performing a first etch process on the stack layer in a direction from bottom to top, removing the first attached layer, attaching the stack layer in which the vertical holes are formed to the substrate, and performing a second etch process so that each of the vertical holes has a uniform width.Type: GrantFiled: May 28, 2015Date of Patent: March 8, 2016Assignee: SK Hynix Inc.Inventors: Sung Wook Jung, Ji Hui Baek, Dong Hun Lee, Tae Hwa Lee, Hye Eun Heo
-
Patent number: 9281218Abstract: A method of manufacturing a lead frame includes providing an electrically conductive layer having a plurality of holes at a top surface. The plurality of holes form a structure of leads and a die pad on the electrically conductive layer. The plurality of holes are filled with a non-conductive material. Next; an electrically conductive foil is attached on the top surface of the electrically conductive layer and the non-conductive epoxy material. Then, the electrically conductive foil is etched to create a network of leads, die pad, bus lines, dam bars and tie lines, wherein the bus lines connect the leads to the dam bar, the dam bar is connected to the tie line and the tie line is connected to the die pad.Type: GrantFiled: August 29, 2007Date of Patent: March 8, 2016Assignee: UNITED TEST AND ASSEMBLY CENTER LTD.Inventors: Danny Retuta, Hien Boon Tan, Anthony Yi Sheng Sun, Mary Annie Cheong
-
Patent number: 9281219Abstract: Embodiments include a method for securing a membrane material to a gate of a molecular receptor-based chemical field-effect transistor (CHEMFET). The method can include casting a membrane material onto an exposed region of the gate, curing the membrane material, placing the CHEMFET into a mold, inserting a single application of impervious electrically insulative resin into the mold, and securing edges of the membrane material by the single application of the impervious electrically insulative resin, thereby physically preventing lifting off of the membrane material from the gate. Embodiments include a sensor module. The sensor module can include a CHEMFET, an amplifier circuit, one or more sensor pins for contacting field ground soil, a data logger, and a wireless transceiver, among other components.Type: GrantFiled: September 17, 2014Date of Patent: March 8, 2016Assignee: SUPRASENSOR TECHNOLOGIES, LLCInventors: Calden Carroll Stimpson, Jordan Richard Kusiek
-
Patent number: 9281220Abstract: Disclosed are a liquid processing device, and a liquid processing method. The liquid processing method includes a first process that includes supplying a first processing liquid to the substrate and discharging the first processing liquid within the processing space from a first discharge path, a second process that includes supplying a second processing liquid to the substrate and discharging the second processing liquid within the processing space from the second discharge path, and after stop supplying of the first processing liquid and prior to beginning of the second process, a nozzle switching operation switching from the first nozzle to the second nozzle and a discharge mechanism switching operation switching from the first discharge path to the second discharge path are performed.Type: GrantFiled: October 11, 2012Date of Patent: March 8, 2016Assignee: Tokyo Electron LimitedInventor: Yuuji Takimoto
-
Patent number: 9281221Abstract: One or more techniques or systems for ultra-high vacuum (UHV) wafer processing are provided herein. In some embodiments, a vacuum system includes one or more cluster tools connected via one or more bridges. For example, a first cluster tool is connected to a first bridge. Additionally, a second cluster tool is connected to a second bridge. In some embodiments, the first bridge is configured to connect the second cluster tool to the first cluster tool. In some embodiments, the second cluster tool is connected to the first bridge, thus forming a ‘tunnel’. In some embodiments, the second bridge comprises one or more facets configured to enable a connection to an additional process chamber or an additional cluster tool. In this manner, a more efficient UHV environment is provided, thus enhancing a yield associated with wafer processing, for example.Type: GrantFiled: November 16, 2012Date of Patent: March 8, 2016Assignee: Taiwan Semiconductor Manufacturing Company LimitedInventors: Chung-En Kao, Tien-Chen Hu, Mao-Lin Kao, Kuo-Fu Chien, Keith Koai
-
Patent number: 9281222Abstract: A wafer handling system may include upper and lower linked robot arms that may move a wafer along a nonlinear trajectory between chambers of a semiconductor processing system. These features may result in a smaller footprint in which the semiconductor processing system may operate, smaller transfer chambers, smaller openings in process chambers, and smaller slit valves, while maintaining high wafer throughput. In some embodiments, simultaneous fast wafer swaps between two separate chambers, such as load locks and ALD (atomic layer deposition) carousels, may be provided. Methods of wafer handling are also provided, as are other aspects.Type: GrantFiled: March 10, 2014Date of Patent: March 8, 2016Assignee: Applied Materials, Inc.Inventors: William Tyler Weaver, Malcolm N. Daniel, Jr., Robert B. Vopat, Jason M. Schaller, Jacob Newman, Dinesh Kanawade, Andrew J. Constant, Stephen C. Hickerson, Jeffrey C. Hudgens, Marvin L. Freeman
-
Patent number: 9281223Abstract: In a transfer system for wafers, etc., a coupling chamber corresponding to a port is formed only when a transfer box comes in tight contact with an apparatus as a transfer target in the transfer box is transferred into the apparatus, so that the transfer target will be transferred into the apparatus together with the coupling chamber, thereby simplifying the structures of the transfer box and apparatus and also allowing the transfer target to be transferred into the apparatus without fail.Type: GrantFiled: May 30, 2011Date of Patent: March 8, 2016Assignee: National Institute of Advanced Industrial Science and TechnologyInventor: Shiro Hara
-
Patent number: 9281224Abstract: An apparatus and an associated method for receiving and positioning a plurality of spaced-apart power semiconductor modules using a molded positioning body. The molded positioning body has a planar first main face and a plurality of receptacles for receiving the power semiconductor modules therein. Each receptacle has a stop means, by which a main face of each power semiconductor module is positioned plane-parallel with one another and in alignment with the first main face of the molded positioning body. The receptacle is embodied as tapering inwardly in the direction of the first main face, beginning at the second main face.Type: GrantFiled: November 6, 2006Date of Patent: March 8, 2016Assignee: Semikron Elektronik GmbH & Co., KGInventor: Jakob Jost
-
Patent number: 9281225Abstract: A substrate processing apparatus including a transfer unit for transferring, under reduced pressure, a laminate including a wafer and a support plate which are bonded to each other and supported by use of support pins that supports an inner periphery of a first surface of the wafer, the first surface being opposite to a second surface of the wafer onto which a second surface the support plate is bonded.Type: GrantFiled: November 6, 2012Date of Patent: March 8, 2016Assignee: Tokyo Ohka Kogyo Co., Ltd.Inventor: Yoshihiro Inao
-
Patent number: 9281226Abstract: Embodiments of the invention generally relate to an electrostatic chuck having reduced power loss, and methods and apparatus for reducing power loss in an electrostatic chuck, as well as methods for testing and manufacture thereof. In one embodiment, an electrostatic chuck is provided. The electrostatic chuck includes a conductive base, and a ceramic body disposed on the conductive base, the ceramic body comprising an electrode and one or more heating elements embedded therein, wherein the ceramic body comprises a dissipation factor of about 0.11 to about 0.16 and a capacitance of about 750 picoFarads to about 950 picoFarads between the electrode and the one or more heating elements.Type: GrantFiled: February 13, 2013Date of Patent: March 8, 2016Assignee: APPLIED MATERIALS, INC.Inventors: Konstantin Makhratchev, Jennifer Y. Sun
-
Patent number: 9281227Abstract: A Johnsen-Rahbek (J-R) electrostatic clamp is provided for clamping a workpiece, wherein the J-R clamp has a dielectric layer having a clamping surface associated with the workpiece and a backside surface generally opposing the clamping surface. The dielectric layer has a plurality of regions, wherein each of the plurality of regions comprises one of a plurality of dielectric materials. Each of the plurality of dielectric materials has a baseline resistivity that is different from the remainder of the plurality of dielectric materials, and each of the plurality of regions of the dielectric layer has a baseline resistivity that is different from the remainder of the plurality of regions of the dielectric layer. A plurality of electrically conductive electrodes are associated with the backside surface of the dielectric layer, wherein each of the plurality of electrically conductive electrodes are associated with one or more of the plurality of regions of the dielectric layer.Type: GrantFiled: June 28, 2013Date of Patent: March 8, 2016Assignee: Axcelis Technologies, Inc.Inventors: William D. Lee, Ashwin M. Purohit
-
Patent number: 9281228Abstract: A semiconductor device has a semiconductor die mounted to a substrate. A recess is formed in a back surface of the semiconductor die to an edge of the semiconductor die with sidewalls on at least two sides of the semiconductor die. The sidewalls are formed by removing a portion of the back surface of the die, or by forming a barrier layer on at least two sides of the die. A channel can be formed in the back surface of the semiconductor die to contain the TIM. A TIM is formed in the recess. A heat spreader is mounted in the recess over the TIM with a down leg portion of the heat spreader thermally connected to the substrate. The sidewalls contain the TIM to maintain uniform coverage of the TIM between the heat spreader and back surface of the semiconductor die.Type: GrantFiled: November 1, 2011Date of Patent: March 8, 2016Assignee: STATS ChipPAC, Ltd.Inventors: DaeSik Choi, JoungIn Yang, MinJung Kim, Sang Mi Park, MinWook Yu
-
Patent number: 9281229Abstract: A method for debonding two temporary bonded wafers, includes providing a debonder comprising a top chuck assembly, a bottom chuck assembly, a static gantry supporting the top chuck assembly, an X-axis carriage drive supporting the bottom chuck assembly and an X-axis drive control configured to drive horizontally the X-axis carriage drive and the bottom chuck assembly from a loading zone to a process zone under the top chuck assembly and from the process zone back to the loading zone. Next, loading a wafer pair comprising a carrier wafer bonded to a device wafer via an adhesive layer upon the bottom chuck assembly at the loading zone oriented so that the unbonded surface of the device wafer is in contact with the bottom assembly. Next, driving the X-axis carriage drive and the bottom chuck assembly to the process zone under the top chuck assembly. Next, placing the unbonded surface of the carrier wafer in contact with the top chuck assembly and holding the carrier wafer by the top chuck assembly.Type: GrantFiled: December 1, 2014Date of Patent: March 8, 2016Assignee: SUSS MicroTec Lithography GmbHInventors: Gregory George, Hale Johnson, Patrick Gorun, Emmett Hughlett, James Hermanowski, Matthew Stiles
-
Patent number: 9281230Abstract: The present invention relates to an apparatus for massive manufacturing a hierarchical structure that can hierarchically form high performance micro units one a flexible substrate. For this purpose, an apparatus for manufacturing a hierarchical structure according to the present invention is provided to layer micro units provided on a dummy substrate that is made of a hard material on a target substrate that is made of a flexible material by releasing the micro units from the dummy substrate. The apparatus includes: a transfer stage flat-transferring the dummy substrate by supporting the same and a main roller rolling the target substrate by winding the same as the transfer stage proceeds and layering the micro unit of the dummy substrate on the target substrate.Type: GrantFiled: September 28, 2009Date of Patent: March 8, 2016Assignee: KOREA INSTITUTE OF MACHINERY & MATERIALSInventors: Jae-Hyun Kim, Hak-Joo Lee, Seung-Min Hyun, Seung-Woo Han, Byung-Ik Choi
-
Patent number: 9281231Abstract: A non-contact magnetic drive assembly with mechanical stop elements for a vacuum deposition system employing a lift-off process having a HULA configuration featuring a plurality of magnets coupled in an annular orientation to a central ring and an orbital ring, each magnet of the orbital ring becomes superposed with a magnet of the central ring as the orbital ring rotates, and a central drive component driving either the central ring, the orbital ring around the central ring or both simultaneously, the central drive component provides a rotational speed allowing non-contact, magnetic drive rotation of the orbital ring around the central ring until a difference between a magnetic drive torque of the superposed magnets and the rotational speed of the central drive component causes the superposed magnets to decouple enabling mechanical drive rotation by interactive contact between a plurality of central ring teeth and a plurality of orbital ring.Type: GrantFiled: October 11, 2012Date of Patent: March 8, 2016Assignee: Ferrotec (USA) CorporationInventors: Cris Kroneberger, Bharatkumar Patel
-
Patent number: 9281232Abstract: Radiation hardened NMOS devices suitable for application in NMOS, CMOS, or BiCMOS integrated circuits, and methods for fabricating them. A device includes a p-type silicon substrate, a field oxide surrounding a moat region on the substrate tapering through a Bird's Beak region to a gate oxide within the moat region, a heavily-doped p-type guard region underlying at least a portion of the Bird's Beak region and terminating at the inner edge of the Bird's Beak region, a gate included in the moat region, and n-type source and drain regions spaced by a gap from the inner edge of the Bird's Beak and guard regions. A variation of minor alterations to the conventional moat and n-type source/drain masks. The resulting devices have improved radiation tolerance while having a high breakdown voltage and minimal impact on circuit density.Type: GrantFiled: September 25, 2014Date of Patent: March 8, 2016Assignee: TEXAS INSTRUMENTS INCORPORATEDInventor: James Fred Salzman
-
Patent number: 9281233Abstract: A method of preparing a monocrystalline donor substrate, the method comprising (a) implanting helium ions through the front surface of the monocrystalline donor substrate to an average depth D1 as measured from the front surface toward the central plane; (b) implanting hydrogen ions through the front surface of the monocrystalline donor substrate to an average depth D2 as measured from the front surface toward the central plane; and (c) annealing the monocrystalline donor substrate at a temperature sufficient to form a cleave plane in the monocrystalline donor substrate. The average depth D1 and the average depth D2 are within about 1000 angstroms.Type: GrantFiled: December 19, 2013Date of Patent: March 8, 2016Assignee: SunEdison Semiconductor LimitedInventors: Jeffrey L. Libbert, Michael John Ries
-
Patent number: 9281234Abstract: Disclosed herein is an interconnect apparatus comprising a substrate having a land disposed thereon and a passivation layer disposed over the substrate and over a portion of the land. An insulation layer is disposed over the substrate and has an opening disposed over at least a portion of the land. A conductive layer is disposed over a portion of the passivation layer and in electrical contact with the land. The conductive layer has a portion extending over at least a portion of the insulation layer. The conductive layer comprises a contact portion disposed over at least a portion of the land. The insulation layer avoids extending between the land and the contact portion. A protective layer may be disposed over at least a portion of the conductive layer and may optionally have a thickness of at least 7 ?m.Type: GrantFiled: May 13, 2013Date of Patent: March 8, 2016Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.Inventors: Jie Chen, Hsien-Wei Chen
-
Patent number: 9281235Abstract: A semiconductor package may include a substrate including a substrate connection terminal, at least one semiconductor chip stacked on the substrate and having a chip connection terminal, a first insulating layer covering at least portions of the substrate and the at least one semiconductor chip, and/or an interconnection penetrating the first insulating layer to connect the substrate connection terminal to the chip connection terminal. A semiconductor package may include stacked semiconductor chips, edge portions of the semiconductor chips constituting a stepped structure, and each of the semiconductor chips including a chip connection terminal; at least one insulating layer covering at least the edge portions of the semiconductor chips; and/or an interconnection penetrating the at least one insulating layer to connect to the chip connection terminal of each of the semiconductor chips.Type: GrantFiled: February 3, 2015Date of Patent: March 8, 2016Assignee: SAMSUNG ELECTRONICS CO., LTD.Inventors: Young-lyong Kim, Taehoon Kim, Jongho Lee, Chul-Yong Jang
-
Patent number: 9281236Abstract: Embodiments of the invention include a semiconductor structure containing a back end of line randomly patterned interconnect structure for implementing a physical unclonable function (PUF), a method for forming the semiconductor device, and a circuit for enabling the interconnect structure to implement the physical unclonable function. The method includes forming a semiconductor substrate and a dielectric layer on the substrate. The randomly patterned interconnect structure is formed in the dielectric layer. The random pattern of the interconnect structure is used to implement the physical unclonable function and is a result of defect occurrences during the manufacturing of the semiconductor structure. The circuit includes n-channel and p-channel metal oxide semiconductor field effect transistors (MOSFETs) and the randomly patterned interconnect structure, which acts as electrical connections between the MOSFETs.Type: GrantFiled: May 21, 2015Date of Patent: March 8, 2016Assignee: GLOBALFOUNDRIES INC.Inventors: Kai D. Feng, Wai-Kin Li, Ping-Chuan Wang, Zhijian Yang
-
Patent number: 9281237Abstract: A transistor which includes an oxide semiconductor and can operate at high speed is provided. A highly reliable semiconductor device including the transistor is provided. An oxide semiconductor layer including a pair of low-resistance regions and a channel formation region is provided over an electrode layer formed in a groove of a base insulating layer. The channel formation region is embedded in a position overlapping with a gate electrode which has a side surface provided with a sidewall. The groove includes a deep region and a shallow region. The sidewall overlaps with the shallow region, and a connection portion between a wiring and the electrode layer overlaps with the deep region.Type: GrantFiled: October 1, 2012Date of Patent: March 8, 2016Assignee: SEMICONDUCTOR ENERGY LABORATORY CO., LTD.Inventor: Shunpei Yamazaki
-
Patent number: 9281238Abstract: A method for fabricating interlayer dielectric (ILD) layer is disclosed. The method includes the steps of first forming a first tensile dielectric layer on a substrate, and then forming a second tensile dielectric layer on the first tensile dielectric layer.Type: GrantFiled: July 11, 2014Date of Patent: March 8, 2016Assignee: UNITED MICROELECTRONICS CORP.Inventors: Yu-Cheng Lin, Hui-Shen Shih
-
Patent number: 9281239Abstract: A biocompatible electrode is manufactured by depositing filling metal 36 and etching back the filling metal to the surface of the surrounding insulator 30. Then, a further etch forms a recess 38 at the top of the via 32. An electrode metal 40 is then deposited and etched back to fill the recess 38 and form biocompatible electrode 42. In this way, a planar biocompatible electrode is achieved. The step of etching to form the recess may be carried out in the same CMP tool as is used to etch back the filling metal 36. A hydrogen peroxide etch may be used.Type: GrantFiled: October 26, 2009Date of Patent: March 8, 2016Assignee: NXP B.V.Inventors: Roel Daamen, Matthias Merz
-
Patent number: 9281240Abstract: In a method of manufacturing a semiconductor device, an insulating interlayer is formed on a substrate. The insulating interlayer is partially removed to form an opening. A barrier conductive layer is formed on a sidewall and a bottom of the opening. An RF sputtering process and a DC sputtering process are performed independently on the barrier conductive layer to form a seed layer. A plated layer is formed on the seed layer.Type: GrantFiled: March 2, 2015Date of Patent: March 8, 2016Assignee: Samsung Electronics Co., Ltd.Inventors: Hyo-Jeong Moon, Woo-Choel Noh, Woo-Jin Jang, Hun Kim, Hong-Jae Shin
-
Patent number: 9281241Abstract: Methods for forming interconnects in microelectronic workpieces and microelectronic workpieces formed using such methods are disclosed herein. One embodiment, for example, is directed to a method of processing a microelectronic workpiece including a semiconductor substrate having a plurality of microelectronic dies. The method can include forming a first opening in the substrate from a back side of the substrate toward a front side and in alignment with terminals of the dies. The first opening separates an island of substrate material from the substrate. The method can also include depositing an insulating material into at least a portion of the first opening, and then removing the island of substrate material to form a second opening. In several embodiments, the method may include constructing an electrically conductive interconnect in at least a portion of the second opening and in electrical contact with the terminal.Type: GrantFiled: August 21, 2012Date of Patent: March 8, 2016Assignee: Micron Technology, Inc.Inventor: Marc Sulfridge
-
Patent number: 9281242Abstract: A through silicon via (TSV) stacked structure made of stacked substrates. Each substrate includes multiple tapered through silicon vias, wherein the wider end of each tapered through silicon via is provided with a recessed portion and the narrower end of each tapered through silicon via protrudes from the substrate. The substrates are stacked one after another with the narrower end of each tapered through silicon via being fitting and jointing into a corresponding recessed portion of the tapered through silicon via.Type: GrantFiled: October 25, 2012Date of Patent: March 8, 2016Assignee: NANYA TECHNOLOGY CORP.Inventor: Po-Chun Lin