Patents Issued in November 21, 2017
  • Patent number: 9824930
    Abstract: A method of fabricating advanced node field effect transistors using a replacement metal gate process. The method includes dopant a high-k dielectric directly or indirectly by using layers composed of multi-layer thin film stacks, or in other embodiments, by a single blocking layer. By taking advantage of unexpected etch selectivity of the multi-layer stack or the controlled etch process of a single layer stack, etch damage to the high-k may be avoided and work function metal thicknesses can be tightly controlled which in turn allows field effect transistors with low Tinv (inverse of gate capacitance) mismatch.
    Type: Grant
    Filed: August 10, 2016
    Date of Patent: November 21, 2017
    Assignee: International Business Machines Corporation
    Inventors: Takashi Ando, Hemanth Jagannathan, Balaji Kannan, Siddarth A. Krishnan, Unoh Kwon, Rekha Rajaram
  • Patent number: 9824931
    Abstract: A method for fabricating semiconductor device is disclosed. The method includes the steps of: providing a substrate having a fin-shaped structure thereon; forming a first shallow trench isolation (STI) around the fin-shaped structure; dividing the fin-shaped structure into a first portion and a second portion; and forming a second STI between the first portion and the second portion.
    Type: Grant
    Filed: December 29, 2015
    Date of Patent: November 21, 2017
    Assignee: UNITED MICROELECTRONICS CORP.
    Inventors: I-Ming Tseng, Wen-An Liang, Chen-Ming Huang
  • Patent number: 9824932
    Abstract: Thermally isolated devices may be formed by performing a series of etches on a silicon-based substrate. As a result of the series of etches, silicon material may be removed from underneath a region of an integrated circuit (IC). The removal of the silicon material from underneath the IC forms a gap between remaining substrate and the integrated circuit, though the integrated circuit remains connected to the substrate via a support bar arrangement that suspends the integrated circuit over the substrate. The creation of this gap functions to release the device from the substrate and create a thermally-isolated integrated circuit.
    Type: Grant
    Filed: August 11, 2016
    Date of Patent: November 21, 2017
    Assignee: National Technology & Engineering Solutions of Sandia, LLC
    Inventors: Kenneth Wojciechowski, Roy Olsson, Peggy J. Clews, Todd Bauer
  • Patent number: 9824933
    Abstract: Structures and fabrication methods for a vertical-transport field-effect transistor. A plurality of pillars comprised of a semiconductor material are formed. First and second gate structures are located along a length of the pillars. The second gate structure is vertically spaced along the length of the pillars relative to the first gate structure. The first and second gate structures are each associated with a channel defined in the pillars.
    Type: Grant
    Filed: August 9, 2016
    Date of Patent: November 21, 2017
    Assignee: GLOBALFOUNDRIES INC.
    Inventor: Bartlomiej J. Pawlak
  • Patent number: 9824934
    Abstract: A semiconductor device includes structures formed in first and second regions of a semiconductor substrate. The structures in the first region are spaced with a pitch P. The first and second regions are separated by an isolation region with spacing S, wherein S is greater than P. A first insulating layer is deposited and recessed to a target depth in the first region, and to a second depth in the isolation region. The second depth is lower than the target depth. A first etch stop layer is formed over the recessed first insulating layer, and a second insulating layer is formed over the first etch stop layer to increase a level of insulating material in the isolation region to the same target depth in the first device region. The recessed first insulating layer, first etch stop layer, and second insulating layer form a uniform thickness shallow trench isolation layer.
    Type: Grant
    Filed: September 30, 2016
    Date of Patent: November 21, 2017
    Assignee: International Business Machines Corporation
    Inventors: Zhenxing Bi, Kangguo Cheng, Bruce Miao, Xin Miao
  • Patent number: 9824935
    Abstract: A method includes forming an initial strain relaxed buffer layer on a semiconductor substrate. A trench is formed within the initial strain relaxed buffer layer. An epitaxial deposition process is performed to form an in situ carbon-doped strain relaxed buffer layer in the trench. A channel semiconductor material is formed on the initial strain relaxed buffer layer and on the in situ carbon-doped strain relaxed buffer layer in the trench. A plurality of fin-formation trenches that extend into the initial strain relaxed buffer layer is formed so as to thereby form an NMOS fin including the channel semiconductor material and the in situ carbon-doped strain relaxed buffer layer and a PMOS fin including the channel semiconductor material and the initial strain relaxed buffer layer. A recessed layer of insulating material and gate structures are formed around the NMOS fin and the PMOS fin.
    Type: Grant
    Filed: July 6, 2017
    Date of Patent: November 21, 2017
    Assignee: GLOBALFOUNDRIES Inc.
    Inventor: Ajey Poovannummoottil Jacob
  • Patent number: 9824936
    Abstract: An integrated circuit (IC) device may include a first active transistor of a first-type in a first-type region. The first active transistor may have a first-type work function material and a low channel dopant concentration in an active portion of the first active transistor. The IC device may also include a first isolation transistor of the first-type in the first-type region. The second active transistor may have a second-type work function material and the low channel dopant concentration in an active portion of the first isolation transistor. The first isolation transistor may be arranged adjacent to the first active transistor.
    Type: Grant
    Filed: October 13, 2016
    Date of Patent: November 21, 2017
    Assignee: QUALCOMM Incorporated
    Inventors: Vladimir Machkaoutsan, Mustafa Badaroglu, Jeffrey Junhao Xu, Stanley Seungchul Song, Choh Fei Yeap
  • Patent number: 9824937
    Abstract: A method for semiconductor processing includes forming a first dielectric layer comprising an N-type dopant over a first plurality of fins extending above a first region of a substrate, forming a second dielectric layer comprising a P-type dopant over the first plurality of fins and a second plurality of fins extending above a second region of the substrate, the second dielectric layer overlying the first dielectric layer, and forming an isolation layer between adjacent ones of the first plurality of fins, and between adjacent ones of the second plurality of fins. The method further includes performing an implantation process using a first dopant, the implantation process changing an etching rate of the isolation layer, and recessing the isolation layer, the first dielectric layer, and the second dielectric layer, where after the recessing, the first and the second plurality of fins extend above an upper surface of the isolation layer.
    Type: Grant
    Filed: August 31, 2016
    Date of Patent: November 21, 2017
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Tsan-Chun Wang, Liang-Yin Chen
  • Patent number: 9824938
    Abstract: Provided is a charged particle beam device which can specify a position of an initial core with high accuracy even when fine line and space patterns are formed by an SADP in plural times. The charged particle beam device includes a detector (810) which detects secondary charged particles discharged from a sample (807) when a charged particle beam is emitted to the sample having a plurality of patterns of line shape, a display unit (817) which displays image data of a surface of the sample on the basis of a signal of the secondary charged particles, a calculation unit (812) which calculates an LER value with respect to the plurality of the patterns of line shape from the image data, and a determination unit (816) which compares the values to determine a position of the initial core.
    Type: Grant
    Filed: March 31, 2015
    Date of Patent: November 21, 2017
    Assignee: Hitachi High-Technologies Corporation
    Inventors: Atsuko Yamaguchi, Osamu Inoue, Hiroki Kawada
  • Patent number: 9824939
    Abstract: A semiconductor device having a composite pad including a primary portion and a subsidiary portion is disclosed. The primary portion is provided for electrical connection to an internal circuit of the semiconductor device. The subsidiary portion is provided for probing, in particular, for testing high frequency performance of the semiconductor device by probing with a RF-probe. Because the subsidiary portion is independent from the primary portion, the subsidiary portion does not affect the electrical performance of the semiconductor device. Also, the subsidiary portion has a narrowed contact area with respect to the RF-probe to lessen adherence of metal flakes from the pad onto the probe.
    Type: Grant
    Filed: March 30, 2016
    Date of Patent: November 21, 2017
    Assignee: SUMITOMO ELECTRIC DEVICE INNOVATIONS, INC.
    Inventor: Mitsuji Nunokawa
  • Patent number: 9824940
    Abstract: A method for intelligent inline metrology is a provided. A parameter of a workpiece is measured at a first set of inspection sites on the workpiece. A determination is made as to whether a first specification is met using the measurements at the first set of inspection sites. In response to the first specification being met, the parameter is estimated at a second set of inspection sites on the workpiece. In response to the first specification being unmet, the parameter is measured at the second set of inspection sites and a determination is made as to whether a second specification is met using the measurements at the second set of inspection sites. A system for intelligent inline metrology is also provided.
    Type: Grant
    Filed: October 27, 2015
    Date of Patent: November 21, 2017
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Shiang-Bau Wang, Victor Y. Lu
  • Patent number: 9824941
    Abstract: A wafer is positioned on a wafer support apparatus beneath an electrode such that a plasma generation region exists between the wafer and the electrode. Radiofrequency power is supplied to the electrode to generate a plasma within the plasma generation region during multiple sequential plasma processing cycles of a plasma processing operation. At least one electrical sensor connected to the electrode measures a radiofrequency parameter on the electrode during each of the multiple sequential plasma processing cycles. A value of the radiofrequency parameter as measured on the electrode is determined for each of the multiple sequential plasma processing cycles. A determination is made as to whether or not any indicatory trend or change exists in the values of the radiofrequency parameter as measured on the electrode over the multiple sequential plasma processing cycles, where the indicatory trend or change indicates formation of a plasma instability during the plasma processing operation.
    Type: Grant
    Filed: March 18, 2016
    Date of Patent: November 21, 2017
    Assignee: Lam Research Corporation
    Inventors: Yukinori Sakiyama, Ishtak Karim, Yaswanth Rangineni, Adrien LaVoie, Ramesh Chandrasekharan, Edward Augustyniak, Douglas Keil
  • Patent number: 9824942
    Abstract: A method of manufacturing a thin-film transistor (TFT) substrate including a thin-film transistor having a CuMn alloy film. The method includes controlling a contact resistance of a surface of the CuMn alloy film on the basis of a contact angle of the surface of the CuMn alloy film.
    Type: Grant
    Filed: December 22, 2014
    Date of Patent: November 21, 2017
    Assignee: JOLED INC.
    Inventor: Tohru Saitoh
  • Patent number: 9824943
    Abstract: A semiconductor structure with a stop layer for planarization process therein and a method for forming the same is disclosed. The method includes the steps of: forming a trench in a substrate and between active areas; filling the trench with isolation layer; doping the isolation layer with an element to form a doped isolation region; annealing the doped isolation region; and planarizing the annealed and doped isolation region and measuring a planarization depth thereof. The coefficients of thermal expansion (CTEs) of the stop layer, the dielectric layer, and the active area are different.
    Type: Grant
    Filed: March 28, 2016
    Date of Patent: November 21, 2017
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Jia-Ming Lin, Wei-Ken Lin, Shiu-Ko Jangjian, Chun-Che Lin
  • Patent number: 9824944
    Abstract: A technique is provided that can prevent cracking of a protective film in the uppermost layer of a semiconductor device and improve the reliability of the semiconductor device. Bonding pads formed over a principal surface of a semiconductor chip are in a rectangular shape, and an opening is formed in a protective film over each bonding pad in such a manner that an overlapping width of the protective film in a wire bonding region of each bonding pad becomes wider than an overlapping width of the protective film in a probe region of each bonding pad.
    Type: Grant
    Filed: September 29, 2016
    Date of Patent: November 21, 2017
    Assignee: Renesas Electronics Corporation
    Inventors: Bunji Yasumura, Fumio Tsuchiya, Hisanori Ito, Takuji Ide, Naoki Kawanabe, Masanao Sato
  • Patent number: 9824945
    Abstract: A semiconductor device reduces measurement time. The semiconductor device according to an embodiment of the invention includes: plural series-coupled resistance elements for testing; plural switches coupled to a coupling path coupling the resistance elements; and plural selection circuits to select, by turning on or off the switches, a number of the series-coupled resistance elements to be measured as a group. In the semiconductor device: the switches include plural first switches coupled to plural groups of the resistance elements, each of the groups including N (N=2 or a larger integer) of the resistance elements; and the selection circuits turn the first switches on or off and thereby select a number of the series-coupled resistance elements to be measured as a group, the number equaling the N.
    Type: Grant
    Filed: March 3, 2016
    Date of Patent: November 21, 2017
    Assignee: RENESAS ELECTRONICS CORPORATION
    Inventor: Hiroki Shinkawata
  • Patent number: 9824946
    Abstract: A method of manufacturing a semiconductor chip from a wafer having a test architecture includes forming a plurality of dies on a wafer, each of the plurality of dies including a semiconductor device, forming at least two common pads commonly coupled to the dies, the at least two common pads being formed in a scribe lane, the scribe lane distinguishing the dies with respect to each other, and simultaneously testing the semiconductor devices at a wafer level, using the at least two common pads.
    Type: Grant
    Filed: August 9, 2016
    Date of Patent: November 21, 2017
    Assignee: SAMSUNG ELECTRONICS CO., LTD.
    Inventors: Young-Yong Byun, Ho-Sung Song, Chi-Wook Kim
  • Patent number: 9824947
    Abstract: The disclosure describes a novel method and apparatus for testing different types of TSVs in a single die or different types of TSV connections in a stack of die. The testing is facilitated by test circuitry associated with each type of TSV. The test circuitry includes a scan cell adapted for testing TSVs.
    Type: Grant
    Filed: December 21, 2016
    Date of Patent: November 21, 2017
    Assignee: Texas Instruments Incorporated
    Inventor: Lee D. Whetsel
  • Patent number: 9824948
    Abstract: A packaged integrated circuit is provided. The packaged integrated circuit includes a die, a package including a base, a lid, and a plurality of package leads, and die attach adhesive for securing the die to the package base. the die includes a plurality of die pads. The die is secured to the base with the die attach adhesive. After the die is secured to the base, at least one of the plurality of die pads is electrically connected to at least one of the plurality of package leads with a printed bond connection. After printing the bond connection, the lid is sealed to the base.
    Type: Grant
    Filed: January 20, 2015
    Date of Patent: November 21, 2017
    Assignee: Global Circuit Innovations Incorporated
    Inventor: Erick Merle Spory
  • Patent number: 9824949
    Abstract: Packaging solutions for devices and systems comprising lateral GaN power transistors are disclosed, including components of a packaging assembly, a semiconductor device structure, and a method of fabrication thereof. In the packaging assembly, a GaN die, comprising one or more lateral GaN power transistors, is sandwiched between first and second leadframe layers, and interconnected using low inductance interconnections, without wirebonding. For thermal dissipation, the dual leadframe package assembly can be configured for either front-side or back-side cooling. Preferred embodiments facilitate alignment and registration of high current/low inductance interconnects for lateral GaN devices, in which contact areas or pads for source, drain and gate contacts are provided on the front-side of the GaN die.
    Type: Grant
    Filed: June 30, 2016
    Date of Patent: November 21, 2017
    Assignee: GaN Systems Inc.
    Inventors: Cameron McKnight-MacNeil, Greg P. Klowak, Ahmad Mizan, Stephen Coates
  • Patent number: 9824950
    Abstract: A semiconductor device according to the invention includes an insulating substrate including an insulating plate, a circuit pattern that is formed on a front surface of the insulating plate, and a radiator plate that is fixed to a rear surface of the insulating plate, a semiconductor chip that is fixed to the circuit pattern, an external lead terminal that is connected to a surface electrode of the semiconductor chip through a wiring line, a molding resin that covers the insulating substrate, the semiconductor chip, the wiring line, and the external lead terminal such that a rear surface of the radiator plate and a portion of the external lead terminal are exposed, and an anchor layer including a stripe-shaped concave portion which is formed in the circuit pattern by laser beam irradiation.
    Type: Grant
    Filed: March 12, 2015
    Date of Patent: November 21, 2017
    Assignee: FUJI ELECTRIC CO., LTD.
    Inventors: Kyohei Fukuda, Tatsuo Nishizawa, Yuhei Nishida, Eiji Mochizuki
  • Patent number: 9824951
    Abstract: A printed circuit module and methods for manufacturing the same are disclosed. The printed circuit module includes a printed circuit substrate with a thinned die attached to the printed circuit substrate. The thinned die includes at least one device layer over the printed circuit substrate and a buried oxide (BOX) layer over the at least one device layer. A polymer layer is disposed over the BOX layer, wherein the polymer has a thermal conductivity greater than 2 watts per meter Kelvin (W/mK) and an electrical resistivity of greater than 103 Ohm-cm.
    Type: Grant
    Filed: September 11, 2015
    Date of Patent: November 21, 2017
    Assignee: Qorvo US, Inc.
    Inventors: Dirk Robert Walter Leipold, Julio C. Costa, Baker Scott
  • Patent number: 9824952
    Abstract: Disclosed herein is a light emitting device package strip capable of being used for a display application or an illumination application. The light emitting device package strip may include: a light emitting device package; and an upper adhesive sheet attached onto an upper surface of the light emitting device package so as to support the light emitting device package, wherein the light emitting device package includes: a flip-chip light emitting device having a first electrode pad and a second electrode pad; and a molding member formed to enclose side surfaces and an upper surface of the light emitting device such that the first electrode pad and the second electrode pad are exposed.
    Type: Grant
    Filed: March 31, 2016
    Date of Patent: November 21, 2017
    Assignee: LUMENS CO., LTD.
    Inventors: Hong-Geol Choi, Sung-Ok Choi, Sang-Hyub Gim, Seung-Hyun Oh, Yun-Geon Cho, Bo-Gyun Kim, Suk-Min Han, Jun-Hyeok Han
  • Patent number: 9824953
    Abstract: A semiconductor module is disclosed. The semiconductor module may include a housing having a sidewall portion, a housing support plate coupled to a bottom surface of the sidewall portion such that the housing support plate and the sidewall portion define an interior space of the housing of the semiconductor module, and a semiconductor device disposed within the interior space and fixedly coupled to the housing. The semiconductor module may further include a cover member fixedly attached to a top surface of the sidewall portion such that the cover member, the housing and the housing support plate form a protective enclosure for the semiconductor device.
    Type: Grant
    Filed: May 16, 2016
    Date of Patent: November 21, 2017
    Assignee: Caterpillar Inc.
    Inventors: William Mische, Eric Andris, Basheer Qattum, Daniel Sergison
  • Patent number: 9824954
    Abstract: An integrated circuit device comprises N stacked first integrated circuit chips each of which includes a first circuit and N stacked second integrated circuit chips each of which includes a second circuit. The N stacked second integrated circuit chips are stacked on the N stacked first integrated circuit chips. A first and second integrated circuit chips at symmetric positions with respect to a reference surface are paired. Each of the first and second integrated circuit chips include connection terminals for connecting the first circuit of the first integrated circuit chip and the second circuit of the second integrated circuit chip in the pair, and through electrodes each penetrating an inside of the chip. The connection terminals and through electrodes are arranged to be symmetric with respect to the reference surface.
    Type: Grant
    Filed: May 5, 2014
    Date of Patent: November 21, 2017
    Assignee: Canon Kabushiki Kaisha
    Inventor: Takayuki Kamiya
  • Patent number: 9824955
    Abstract: An ESD protection device including a Si substrate with an ESD protection circuit formed at the surface of the substrate; pads formed on the Si substrate; a rewiring layer opposed to the surface of the Si substrate, which includes terminal electrodes electrically connected to the pads. The rewiring layer includes a SiN protection film formed on the surface of the Si substrate to cover parts of the pads except regions in contact with openings (contact holes) formed in a resin layer, and the resin layer that is lower in dielectric constant than the SiN protection film, and formed between the SiN protection film and the terminal electrodes. Thus, provided is a semiconductor device which can reduce the generation of parasitic capacitance, and eliminates variation in parasitic capacitance generated.
    Type: Grant
    Filed: February 8, 2017
    Date of Patent: November 21, 2017
    Assignee: MURATA MANUFACTURING CO., LTD.
    Inventors: Toshiyuki Nakaiso, Noboru Kato
  • Patent number: 9824956
    Abstract: A process for manufacturing surface-mount semiconductor devices, in particular of the Quad-Flat No-Leads Multi-Row type, comprising providing a metal leadframe, in particular a copper leadframe, which includes a plurality of pads, each of which is designed to receive the body of the device, the pads being separated from adjacent pads by one or more rows of wire-bonding contacting areas, outermost rows from among the one or more rows of wire-bonding contacting areas identifying, together with outermost rows corresponding to the adjacent pads, separation regions.
    Type: Grant
    Filed: December 16, 2015
    Date of Patent: November 21, 2017
    Assignee: STMICROELECTRONICS S.R.L.
    Inventor: Fulvio Vittorio Fontana
  • Patent number: 9824957
    Abstract: A semiconductor device includes: a semiconductor chip including a main surface electrode; a first mounting lead; a second mounting lead; a connection lead which overlaps with the main surface electrode, the first mounting lead and the second mounting lead when viewed in a thickness direction of the semiconductor chip and makes electrical conduction between the main surface electrode, the first mounting lead and the second mounting lead; and a resin portion which covers the semiconductor chip, the first mounting lead and the second mounting lead, wherein the resin portion has a resin bottom lying on the same plane as a bottom of the first mounting lead and a bottom of the second mounting lead.
    Type: Grant
    Filed: December 15, 2014
    Date of Patent: November 21, 2017
    Assignee: Rohm Co., Ltd.
    Inventor: Koshun Saito
  • Patent number: 9824958
    Abstract: Various embodiments provide a chip carrier structure. The chip carrier structure may include a structured metallic chip carrier; encapsulating material at least partially filling the structure; wherein the main surfaces of the metallic chip carrier are free from the encapsulating material.
    Type: Grant
    Filed: March 5, 2013
    Date of Patent: November 21, 2017
    Assignee: INFINEON TECHNOLOGIES AUSTRIA AG
    Inventors: Ralf Otremba, Josef Hoeglauer, Juergen Schredl, Xaver Schloegel, Klaus Schiess
  • Patent number: 9824959
    Abstract: A semiconductor device having a leadframe including a pad (101) surrounded by elongated leads (110) spaced from the pad by a gap (113) and extending to a frame, the pad and the leads having a first thickness (115) and a first and an opposite and parallel second surface; the leads having a first portion (112) of first thickness near the gap and a second portion (111) of first thickness near the frame, and a zone (114) of reduced second thickness (116) between the first and second portions; the second surface (112a) of the first lead portions is coplanar with the second surface (111a) of the second portions. A semiconductor chip (220) with a terminal is attached the pad. A metallic wire connection (230) from the terminal to an adjacent lead includes a stitch bond (232) attached to the first surface of the lead.
    Type: Grant
    Filed: March 23, 2016
    Date of Patent: November 21, 2017
    Assignee: TEXAS INSTRUMENTS INCORPORATED
    Inventors: Dolores Babaran Milo, Mark Gerald Rosario Pinlac, Bobby Johns Lansangan Villacarlos, Jerry Gomez Cayabyab, Juan Carlo Aro Rimando
  • Patent number: 9824960
    Abstract: Provided is a lead frame including: one or more solder bonding regions containing copper material or copper plating; and a molding resin adhesion region containing a copper oxide film. The solder bonding regions are exposed on a surface of the lead frame. Further, provided is a lead frame manufacturing method including: forming a resist film in a molding resin adhesion region that is included in a surface of a lead frame member made of copper, or that is included in a surface of a copper-plated lead frame member; forming a plating film by performing a metal plating process on one or more solder bonding regions included in the surface of the lead frame member; removing the resist film; and forming a copper oxide film by oxidizing the molding resin adhesion region.
    Type: Grant
    Filed: July 18, 2016
    Date of Patent: November 21, 2017
    Assignee: Mitsui High-tec, Inc.
    Inventors: Takahiro Ishibashi, Kimihiko Kubo, Ryota Furuno, Takaaki Katsuda
  • Patent number: 9824961
    Abstract: A semiconductor device includes a substrate, a semiconductor element, a terminal and a solder outflow prevention part. The semiconductor element is fixed on one side of the substrate via a first solder layer. The terminal that is fixed on the one side of the substrate via a second solder layer. The solder outflow prevention part is formed between the semiconductor element and the terminal in the one side of the substrate and is configured to prevent the first solder layer and the second solder layer from outflowing. A distance between the solder outflow prevention part and the semiconductor element is longer than a thickness of the first solder layer.
    Type: Grant
    Filed: May 9, 2017
    Date of Patent: November 21, 2017
    Assignee: TOYOTA JIDOSHA KABUSHIKI KAISHA
    Inventors: Takuya Kadoguchi, Takanori Kawashima
  • Patent number: 9824962
    Abstract: Methods of forming microelectronic package structures are described. Those methods/structures may include forming a high density region on a board comprising a first plurality of conductive structures disposed on a dielectric material on the board, wherein the first plurality of conductive structures comprises a first pitch between individual ones of the first plurality of conductive structures. A low density region on the board comprises a second plurality of conductive structures disposed on the dielectric material, wherein the second plurality of conductive structures comprises a second pitch between individual ones of the second plurality of conductive structures, wherein the second pitch is more than about twice the magnitude of the first pitch.
    Type: Grant
    Filed: September 29, 2016
    Date of Patent: November 21, 2017
    Assignee: Intel Corporation
    Inventors: Fay Hua, Adel A. Elsherbini
  • Patent number: 9824963
    Abstract: A wiring board includes: a core substrate including: a metal plate having first through holes; a first insulating layer covering an upper surface and a lower surface of the metal plate and inner wall surfaces of the first through holes; through electrodes penetrating the first insulating layer in a thickness direction and each having an upper end surface; a first wiring layer formed on a lower surface of the first insulating layer and connected to the through electrodes; a wiring structure formed on an upper surface of the first insulating layer; and an outermost insulating layer formed on a lower surface of the core substrate. A wiring density of the wiring structure is higher than that of the core substrate. The metal plate is located at a side of the wiring structure with respect to a center of the first insulating layer in a thickness direction thereof.
    Type: Grant
    Filed: December 13, 2016
    Date of Patent: November 21, 2017
    Assignee: SHINKO ELECTRIC INDUSTRIES CO., LTD.
    Inventor: Yuji Kunimoto
  • Patent number: 9824964
    Abstract: This disclosure provides a package substrate, a package structure including the same and their fabrication methods. The package substrate comprises: a first wiring layer having a first metal wire and a first dielectric material layer surrounding the first metal wire; a conductive pillar layer formed on the first wiring layer and including a first metal pillar connected to the first metal wire and a molding compound layer surrounding the first metal pillar; a flexible material layer formed on the conductive pillar layer and including a first opening formed on the first metal pillar and exposing the first metal pillar; and a second wiring layer formed on the conductive pillar layer and including a second metal wire connected to the first metal pillar through the first opening, a second metal pillar formed on the second metal wire, and a protective layer surrounding the second metal wire and the second metal pillar.
    Type: Grant
    Filed: June 16, 2017
    Date of Patent: November 21, 2017
    Assignee: PHOENIX PIONEER TECHNOLOGY CO., LTD.
    Inventors: Chun-Hsien Yu, Shih-Ping Hsu
  • Patent number: 9824965
    Abstract: An isolation device for isolating a first signal of a first circuit from a second circuit disclosed. The isolation device may have a substrate and a plurality of metal layers disposed on the substrate. The plurality of metal layers have a topmost metal layer disposed furthest away from the substrate and a first interconnect metal layer formed nearest to the substrate. The first interconnect metal layer is disposed at a first distance away from the substrate, whereas the topmost metal layer is disposed at an isolation distance away from a first adjacent metal layer formed nearest to the topmost metal layer. A portion of the topmost metal layer forms a first plate. The first plate is configured to transmit the first signal from the first circuit to a second plate that is connected to the second circuit, but electrically isolated from the first plate.
    Type: Grant
    Filed: January 5, 2017
    Date of Patent: November 21, 2017
    Assignee: AVAGO TECHNOLOGIES GENERAL IP (SINGAPORE) PTE. LTD.
    Inventors: Qian Tao, Boon Keat Tan, Richard Lum Kok Keong
  • Patent number: 9824966
    Abstract: A sacrificial film and an alternating stack of insulating layers and sacrificial material layers are sequentially formed over a substrate. A memory stack structure including a memory film and a vertical semiconductor channel is formed through the alternating stack and the sacrificial film on the substrate. A source level cavity is formed by introducing an etchant or a reactant through a backside trench and removing the sacrificial film. After removal of an annular portion of the memory film, a portion of the vertical semiconductor channel is converted into an annular source region by introducing electrical dopants into the channel. A source contact layer is formed in the source level cavity and directly on the annular source region. The sacrificial material layers are replaced with electrically conductive layers. The annular source region and the source contact layer can provide low source contact resistance in a three-dimensional NAND memory device.
    Type: Grant
    Filed: August 12, 2016
    Date of Patent: November 21, 2017
    Assignee: SANDISK TECHNOLOGIES LLC
    Inventors: Senaka Kanakamedala, Raghuveer S. Makala, Yanli Zhang, Rahul Sharangpani, James Kai, Yao-Sheng Lee
  • Patent number: 9824967
    Abstract: A resistor structure composed of a metal liner is embedded within a MOL dielectric material and is located, at least in part, on a surface of a doped semiconductor material structure. The resistor structure is located on a same interconnect level of the semiconductor structure as a lower contact structure and both structures are embedded within the same MOL dielectric material. The metal liner that provides the resistor structure is composed of a metal or metal alloy having a higher resistivity than a metal or metal alloy that provides the contact metal of the lower contact structure.
    Type: Grant
    Filed: July 28, 2016
    Date of Patent: November 21, 2017
    Assignee: International Business Machines Corporation
    Inventors: Praneet Adusumilli, Alexander Reznicek, Oscar van der Straten, Chih-Chao Yang
  • Patent number: 9824968
    Abstract: A method comprises: accessing data representing a layout of a layer of an integrated circuit (IC) comprising a plurality of polygons defining circuit patterns to be divided among a number (N) of photomasks for multi-patterning a single layer of a semiconductor substrate, where N is greater than one. For each set of N parallel polygons in the layout closer to each other than a minimum separation for patterning with a single photomask, at least N?1 stitches are inserted in each polygon within that set to divide each polygon into at least N parts, such that adjacent parts of different polygons are assigned to different photomasks from each other. Data representing assignment of each of the parts in each set to respective photomasks are stored in a non-transitory, computer readable storage medium that is accessible for use in a process to fabricate the N photomasks.
    Type: Grant
    Filed: April 27, 2016
    Date of Patent: November 21, 2017
    Assignee: TAIWAN SEMICONDUCTOR MANUFACTURING CO., LTD.
    Inventors: Hsien Yu-Tseng, Shih-Kai Lin, Chin-Shen Lin, Yu-Sian Jiang, Heng-Kai Liu, Mu-Jen Huang, Chien-Wen Chen
  • Patent number: 9824969
    Abstract: A semiconductor structure and the method of forming the same are provided. The method of forming a semiconductor structure includes forming a recess feature in a basal layer, forming a metal layer on the basal layer, exposing the metal layer to a tungsten halide gas to form an oxygen-deficient metal layer, and forming a bulk tungsten layer on the oxygen-deficient metal layer.
    Type: Grant
    Filed: May 14, 2016
    Date of Patent: November 21, 2017
    Assignee: TAIWAN SEMICONDUCTOR MANUFACTURING CO., LTD.
    Inventors: Chung-Chiang Wu, Chia-Ching Lee, Hsueh-Wen Tsau, Chun-Yuan Chou, Cheng-Yen Tsai, Da-Yuan Lee, Ming-Hsing Tsai
  • Patent number: 9824970
    Abstract: Disclosed are methods of forming integrated circuit (IC) structures with hybrid metallization interconnects. A dual damascene process is performed to form trenches in an upper portion of a dielectric layer and contact holes that extend from the trenches to a gate electrode and to contact plugs on source/drain regions. A first metal is deposited into the contact holes by electroless deposition and a second metal is then deposited. Alternatively, a single damascene process is performed to form a first contact hole through a dielectric layer to a gate electrode and a first metal is deposited therein by electroless deposition. Next, a dual damascene process is performed to form trenches in an upper portion of the dielectric layer, including a trench that traverses the first contact hole, and to form second contact holes that extend from the trenches to contact plugs on source/drain regions. A second metal is then deposited.
    Type: Grant
    Filed: June 27, 2016
    Date of Patent: November 21, 2017
    Assignee: GLOBALFOUNDRIES INC.
    Inventors: Xunyuan Zhang, Ruilong Xie
  • Patent number: 9824971
    Abstract: A semiconductor device may include a metal pad and a first specific metal layer routing. The metal pad is positioned on a first metal layer of the semiconductor device and is directly contacting the first metal layer. The first specific metal layer routing is formed on a second metal layer of the semiconductor device and under the metal pad. In addition, the semiconductor device may include at least one via plug for connecting the first specific metal layer routing to at least one metal region in the first metal layer, where the aforementioned at least one via plug is formed directly under the metal pad.
    Type: Grant
    Filed: November 21, 2016
    Date of Patent: November 21, 2017
    Assignee: MEDIATEK INC.
    Inventor: Chun-Liang Chen
  • Patent number: 9824972
    Abstract: A method for a method of forming a semiconductor device includes providing a semiconductor substrate having a bottom surface opposite a top surface with circuitry disposed at the top surface. The method further includes forming a first metal layer having a first metal over the bottom surface of the semiconductor substrate. The first metal layer is formed by depositing an adhesion promoter followed by depositing the first metal.
    Type: Grant
    Filed: December 19, 2016
    Date of Patent: November 21, 2017
    Assignee: Infineon Technologies AG
    Inventors: Mark James Harrison, Martin Sporn
  • Patent number: 9824973
    Abstract: Integrated circuit (IC) devices are provided including a substrate having a first sidewall defining a first through hole that is a portion of a through-silicon via (TSV) space, an interlayer insulating layer having a second sidewall and a protrusion, wherein the second sidewall defines a second through hole providing another portion of the TSV space and communicating with the first through hole, and the protrusion protrudes toward the inside of the TSV space and defines an undercut region in the first through hole, a TSV structure penetrating the substrate and the interlayer insulating layer and extending through the first through hole and the second through hole, and a via insulating layer surrounding the TSV structure in the first through hole and the second through hole.
    Type: Grant
    Filed: August 12, 2016
    Date of Patent: November 21, 2017
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Ho-jin Lee, Byung-lyul Park, Jin-ho An
  • Patent number: 9824974
    Abstract: Die (110) and/or undiced wafers and/or multichip modules (MCMs) are attached on top of an interposer (120) or some other structure (e.g. another integrated circuit) and are covered by an encapsulant (160). Then the interposer is thinned from below. Before encapsulation, a layer (410) more rigid than the encapsulant is formed on the interposer around the die to reduce or eliminate interposer dishing between the die when the interposer is thinned by a mechanical process (e.g. CMP). Other features are also provided.
    Type: Grant
    Filed: November 30, 2016
    Date of Patent: November 21, 2017
    Assignee: INVENSAS CORPORATION
    Inventors: Guilian Gao, Cyprian Emeka Uzoh, Charles G. Woychik, Hong Shen, Arkalgud R. Sitaram, Liang Wang, Akash Agrawal, Rajesh Katkar
  • Patent number: 9824975
    Abstract: A semiconductor device comprises a first semiconductor die. An encapsulant is disposed around the first semiconductor die. A first stepped interconnect structure is disposed over a first surface of the encapsulant. An opening is formed in the first stepped interconnect structure. The opening in the first stepped interconnect structure is over the first semiconductor die. A second semiconductor die is disposed in the opening of the first stepped interconnect structure. A second stepped interconnect structure is disposed over the first stepped interconnect structure. A conductive pillar is formed through the encapsulant.
    Type: Grant
    Filed: February 2, 2015
    Date of Patent: November 21, 2017
    Assignee: STATS ChipPAC Pte. Ltd.
    Inventors: Reza A. Pagaila, Byung Tai Do, Linda Pei Ee Chua
  • Patent number: 9824976
    Abstract: In some examples, a circuit package further includes an insulating layer and a first transistor extending through the insulating layer, where the first transistor includes a first control terminal on a top side of the insulating layer, a first source terminal on the top side of the insulating layer, and a first drain terminal on a bottom side of the insulating layer. The circuit package includes a second transistor extending through the insulating layer, where the second transistor includes a second control terminal on the top side of the insulating layer, a second source terminal on the bottom side of the insulating layer, and a second drain terminal on the top side of the insulating layer.
    Type: Grant
    Filed: August 16, 2016
    Date of Patent: November 21, 2017
    Assignee: Infineon Technologies Americas Corp.
    Inventor: Eung San Cho
  • Patent number: 9824977
    Abstract: In one embodiment, a method of fabricating a semiconductor package includes forming a first plurality of die openings on a laminate substrate. The laminate substrate has a front side and an opposite back side. A plurality of first dies is placed within the first plurality of die openings. An integrated spacer is formed around each die of the plurality of first dies. The integrated spacer is disposed in gaps between the laminate substrate and an outer sidewall of each die of the plurality of first dies. The integrated spacer holds the die within the laminate substrate by partially extending over a portion of a top surface of each die of the plurality of first dies. Front side contacts are formed over the front side of the laminate substrate.
    Type: Grant
    Filed: March 7, 2016
    Date of Patent: November 21, 2017
    Assignee: Infineon Technologies AG
    Inventors: Martin Standing, Andrew Roberts
  • Patent number: 9824978
    Abstract: Connection patterns for device packaging allow high density circuitry dies to be assembled into packages of manufacturable size. The connection patterns may be patterns for solder ball arrays or other types of connection mechanisms under a semiconductor package. Despite the increased density of the connection patterns, the connection patterns meet the demanding crosstalk specifications for high speed operation of the high density circuitry.
    Type: Grant
    Filed: August 6, 2015
    Date of Patent: November 21, 2017
    Assignee: Avago Technologies General IP (Singapore) Pte. Ltd.
    Inventor: Arun Ramakrishnan
  • Patent number: 9824979
    Abstract: An electronic package includes a substrate having opposing first and second surfaces. Conductive areas are on a first surface of the substrate and include at least one edge conductive area. A plurality of conductive bumps are on the second surface of the substrate and coupled to respective ones of the conductive areas. An integrated circuit (IC) is carried by the substrate. Bond wires are coupled between the IC and respective ones of the conductive areas. An encapsulating material is over the IC and adjacent portions of the substrate. A conductive layer is on the encapsulating material, and at least one conductive body is coupled between the at least one edge conductive area and the conductive layer.
    Type: Grant
    Filed: December 29, 2015
    Date of Patent: November 21, 2017
    Assignee: STMICROELECTRONICS, INC.
    Inventors: Godfrey Dimayuga, Frederick Arellano, Michael Tabiera