Multizone Chamber Patents (Class 118/719)
  • Publication number: 20130309848
    Abstract: A reactor for growing or depositing semiconductor films or devices. The reactor may be designed for inline production of III-V materials grown by hydride vapor phase epitaxy (HVPE). The operating principles of the HVPE reactor can be used to provide a completely or partially inline reactor for many different materials. An exemplary design of the reactor is shown in the attached drawings. In some instances, all or many of the pieces of the reactor formed of quartz, such as welded quartz tubing, while other reactors are made from metal with appropriate corrosion resistant coatings such as quartz or other materials, e.g., corrosion resistant material, or stainless steel tubing or pipes may be used with a corrosion resistant material useful with HVPE-type reactants and gases. Using HVPE in the reactor allows use of lower-cost precursors at higher deposition rates such as in the range of 1 to 5 ?m/minute.
    Type: Application
    Filed: May 15, 2013
    Publication date: November 21, 2013
    Applicant: ALLIANCE FOR SUSTAINABLE ENERGY, LLC
    Inventors: David L. YOUNG, Aaron Joseph PTAK, Thomas F. KUECH, Kevin SCHULTE, John D. SIMON
  • Publication number: 20130302937
    Abstract: The inventors have reached the idea of a film formation apparatus including a film formation chamber, a removal chamber, two sluice valves provided apart from each other between the film formation chamber and the removal chamber, and a shadow mask transfer mechanism. The film formation chamber includes an evaporation source, and the removal chamber includes a parallel plate plasma source and a shadow mask stage. The film formation apparatus has a film formation mode in which a shadow mask overlapped with an object is transferred by the shadow mask transfer mechanism and a film is formed on the object; and a cleaning mode in which the shadow mask is irradiated with plasma by the plasma source, the shadow mask being held between an upper electrode and a lower electrode by the shadow mask stage.
    Type: Application
    Filed: May 7, 2013
    Publication date: November 14, 2013
    Applicant: Semiconductor Energy Laboratory Co., Ltd.
    Inventors: Yasuhiro Jinbo, Shingo Eguchi, Shunpei Yamazaki
  • Patent number: 8580332
    Abstract: Thin-film battery methods for complexity reduction are described. Processing equipment arrangements suitable to support thin-film battery methods for complexity reduction are also described. Cluster tools to support thin-film battery methods for complexity reduction are also described.
    Type: Grant
    Filed: September 14, 2010
    Date of Patent: November 12, 2013
    Assignee: Applied Materials, Inc.
    Inventors: Byung-Sung Kwak, Nety M. Krishna
  • Publication number: 20130295275
    Abstract: In order to provide a highly reliable organic EL element, a first step in which a deposition material is heated and vaporized in a deposition chamber in which the pressure is reduced and a second step in which a layer included in an EL layer is deposited in the deposition chamber are performed while exhaustion is performed and the partial pressure of water in the deposition chamber is measured with a mass spectrometer. Alternatively, the deposition chamber in the deposition apparatus includes a deposition material chamber and is connected to an exhaust mechanism. The deposition material chamber is separated from the deposition chamber by a sluice valve, includes a deposition material holding portion including a heating mechanism, and is connected to a mass spectrometer and an exhaust mechanism.
    Type: Application
    Filed: April 25, 2013
    Publication date: November 7, 2013
    Applicant: Semiconductor Energy Laboratory Co., Ltd.
    Inventors: Kohei Yokoyama, Hisao Ikeda, Shinichi Hirasa, Yasuhiro Jinbo, Natsuko Takase
  • Patent number: 8574366
    Abstract: A vacuum processing apparatus includes: a plurality of carriers to be mounted with a base member; a circulation path which is kept in a controlled atmosphere and through which the carriers circulate; a plurality of base member loading and unloading chambers which are disposed in the circulation path and which load and unload the base member to and from the carriers; and a plurality of vacuum processing chambers which are disposed between the base member loading and unloading chambers in the circulation path for performing a vacuum process on the base member.
    Type: Grant
    Filed: July 21, 2006
    Date of Patent: November 5, 2013
    Assignee: Ulvac, Inc.
    Inventor: Eiichi Iijima
  • Publication number: 20130286567
    Abstract: An apparatus for applying a protective coating to a high volume of separate electronic device assemblies includes a treatment element that is configured to prepare the high volume of electronic devices before protective coatings are applied to the electronic devices. The apparatus also includes a coating element configured to apply protective coatings to the high volume of separate electronic device assemblies.
    Type: Application
    Filed: June 18, 2013
    Publication date: October 31, 2013
    Inventors: Max Sorenson, Blake Stevens, Alan Rae, Marc Kenneth Chason, Dana Cox, James Kent Naylor
  • Publication number: 20130287963
    Abstract: An ion implantation apparatus including a first plasma chamber, a second plasma chamber and an extraction electrode disposed therebetween. The first and second plasma chambers configured to house respective plasmas in response to the introduction of a different feed gases therein. The extraction electrode is electrically isolated from the plasma chamber. An extraction voltage is applied to the first plasma chamber above a bias potential used to generate the plasma therein. The extraction voltage drives the plasma potential to accelerate the ions in the first plasma to a desired implant energy. The accelerated ions pass through an aperture in the extraction electrode and are directed toward a substrate housed within the second plasma chamber for implantation.
    Type: Application
    Filed: April 26, 2012
    Publication date: October 31, 2013
    Applicant: VARIAN SEMICONDUCTOR EQUIPMENT ASSOCIATES, INC.
    Inventors: Svetlana B. Radovanov, Ludovic Godet, Bon-Woong Koo, Timothy J. Miller
  • Publication number: 20130280919
    Abstract: An oxide film is formed, having a specific film thickness on a substrate by alternately repeating: forming a specific element-containing layer on the substrate by supplying a source gas containing a specific element, to the substrate housed in a processing chamber and heated to a first temperature; and changing the specific element-containing layer formed on the substrate, to an oxide layer by supplying a reactive species containing oxygen to the substrate heated to the first temperature in the processing chamber under a pressure of less than atmospheric pressure, the reactive species being generated by causing a reaction between an oxygen-containing gas and a hydrogen-containing gas in a pre-reaction chamber under a pressure of less than atmospheric pressure and heated to a second temperature higher than the first temperature.
    Type: Application
    Filed: November 8, 2011
    Publication date: October 24, 2013
    Applicant: HITACHI KOKUSAI ELECTRIC INC.
    Inventors: Kazuhiro Yuasa, Ryuji Yamamoto
  • Patent number: 8562744
    Abstract: A coating device includes two workspaces, two first slide rails, two second slide rails, two transporting loops, a number of rotating platforms, and a number of loading poles. The first slide rails are respectively fixed on the bottoms of the workspaces. The second slide rails are respectively fixed on the tops of the workspaces. The transporting loops are movably positioned on the first slide rails respectively. The rotating platforms are rotatably positioned on the transporting loops and capable of being driven by the transporting loops to rotate and slide along the first slide rails. The loading poles are positioned between the rotating platforms and the second slide rails, and are used for holding substrates. The loading poles are capable of being transported from one workspace to another workspace.
    Type: Grant
    Filed: December 13, 2010
    Date of Patent: October 22, 2013
    Assignee: Hon Hai Precision Industry Co., Ltd.
    Inventor: Chia-Ying Wu
  • Patent number: 8562275
    Abstract: A transfer device 17 in a semiconductor processing system includes first and second actuation mechanisms 9A, 9B having first and second support sections movable on first and second vertical planes, respectively, the latter being parallel with each other. First and second movable blocks 18A, 18B are supported on the first and second support sections so that they may be horizontally moved by the first and second actuation mechanisms. Disposed on the first and second movable blocks are first and second handling mechanism 19A, 19B capable of extension and contraction for handling a processing subject substrate W. A control section 20 controls the operation of the first and second actuation mechanisms so that the first and second movable blocks may not interfere with each other.
    Type: Grant
    Filed: April 16, 2012
    Date of Patent: October 22, 2013
    Assignee: Tokyo Electron Limited
    Inventor: Tsutomu Hiroki
  • Publication number: 20130269609
    Abstract: A reactor for processing a plurality of substrates includes P processing station assemblies arranged symmetrically around an axis, where P is an integer greater than one. A pedestal carousel assembly includes P pedestal assemblies arranged symmetrically around the axis, each of the P pedestal assemblies including a pedestal. A rotational actuator rotates the pedestal carousel assembly relative to the axis to selectively index the P pedestal assemblies with the P processing station assemblies. Each of the P processing station assemblies processes substrates arranged on corresponding ones of the P pedestal assemblies at the same time.
    Type: Application
    Filed: April 13, 2013
    Publication date: October 17, 2013
    Applicant: Novellus Systems, Inc.
    Inventor: Karl Leeser
  • Publication number: 20130269876
    Abstract: An apparatus for fabricating a semiconductor device includes a chamber, a processing part inside the chamber, a gas injection pipe connected to the chamber, a gas pumping pipe connected to the chamber, and a baffle assembly embedded in a chamber wall, and the baffle assembly includes a baffle plate having baffle holes, and a baffle guide surrounding an outer surface of the baffle plate.
    Type: Application
    Filed: March 12, 2013
    Publication date: October 17, 2013
    Applicant: SAMSUNG ELECTRONICS CO., LTD.
    Inventors: Ik-Soo KIM, Ho-Gon KIM, Yong-Taek HONG, Kyoung-Hwan KIM, Hee-Seok KIM, Sung-Ho HAN
  • Publication number: 20130273678
    Abstract: A coating device for producing an organic light-emitting illuminant comprising mutually adjacent regions having in each case different emission colors, includes a vacuum chamber, a device for linearly transporting a substrate, and a plurality of coating sources and diaphragms, provides OLED structures which have an improved intensity of the light emission. The thicknesses of the hole transport, emission and electron transport layers in the mutually adjacent regions differ and are in each case set such that an optimum coupling-out for the light color emitted in the corresponding region is achievable.
    Type: Application
    Filed: December 7, 2011
    Publication date: October 17, 2013
    Applicant: VON ARDENNE ANLAGENTECHNIK GMBH
    Inventors: Carsten Deus, Joerk Richter, Ruben Seifert
  • Publication number: 20130267077
    Abstract: The present invention provides a system and method for manufacturing a semiconductor device including a substrate and a high-? dielectric layer on the substrate. The system comprises a modular track; a substrate-forming chamber connected with the modular track for forming the substrate; and an atomic layer deposition (ALD) chamber connected with the modular track for providing the high-? dielectric layer.
    Type: Application
    Filed: April 5, 2012
    Publication date: October 10, 2013
    Inventors: Ming-Hwei Hong, Ray-Nien Kwo, Tun-Wen Pi, Mao-Lin Huang, Yu-Hsing Chang, Pen Chang, Chun-An Lin, Tsung-Da Lin
  • Publication number: 20130255076
    Abstract: Methods of and factories for thin-film battery manufacturing are described. A method includes operations for fabricating a thin-film battery. A factory includes one or more tool sets for fabricating a thin-film battery.
    Type: Application
    Filed: May 21, 2013
    Publication date: October 3, 2013
    Inventors: Byung-Sung Kwak, Stefan Bangert, Dieter Haas, Omkaram Nalamasu
  • Patent number: 8547021
    Abstract: A plasma processing device includes a first electrode plate (3), a second electrode plate (4), a matching device (8), a power distribution device (9) and a power supply device (1). The first electrode plate (3) includes at least two sub-electrode plates (31, 32) insulated from each other; the power supply device (1) is connected to the power distribution device (9) via the matching device (8); the power distribution device (9) is connected to the first electrode plate (3) for inputting and distributing the power of the power supply device (1) to each of the sub-electrode plates (31, 32); the power distribution device (9) at least includes capacitors (C1, C2) and/or inductances (L1, L2).
    Type: Grant
    Filed: October 20, 2009
    Date of Patent: October 1, 2013
    Assignee: Beijing NMC Co. Ltd.
    Inventor: Gang Wei
  • Patent number: 8545630
    Abstract: A coating apparatus includes a chamber device and a transporting device. The chamber device defines two coating chambers, two parallel coating channels, and a transportation channel communicating with the coating channels. The coating chambers are separated from each other. The coating chambers and the coating channels are alternately arranged. Each coating chamber defines at least one coating slot communicating with the respective coating channel. The transporting device includes a shaft rotatable with respect to the chamber device and a carrying board fixed on the shaft. The shaft is axially movable in the transportation channel. The carrying board is receivable in each of the coating channels for exposing a substrate to the corresponding coating chamber via the associated coating slot. The carrying board is rotatable about the shaft in each of the coating channels and jointly movable with the shaft in and along the transportation channel between the coating channels.
    Type: Grant
    Filed: March 26, 2010
    Date of Patent: October 1, 2013
    Assignee: Hon Hai Precision Industry Co., Ltd.
    Inventor: Shao-Kai Pei
  • Publication number: 20130251889
    Abstract: A coating apparatus may be configured to concurrently receive and waterproof a large number of electronic device assemblies. The coating apparatus may include a track for transporting the electronic device assemblies into an application station. The application station may have a cubic shape, and include an entry door and an opposite exit door. The entry and exit doors may enable the introduction of substrates into the application station, as well as their removal from the application station. In addition, the entry and exit doors may enable isolation of the application station from an exterior environment and, thus, provide control over the conditions under which a moisture resistant material is applied to the substrates. Methods for making electronic devices and other substrates resistant to moisture are also disclosed.
    Type: Application
    Filed: March 25, 2013
    Publication date: September 26, 2013
    Applicant: HzO, Inc.
    Inventors: Dana Cox, Max Sorenson, James Kent Naylor
  • Patent number: 8540844
    Abstract: A movable plasma confinement structure configured for confining plasma in a plasma processing chamber during plasma processing of a substrate is provided. The movable plasma confinement structure includes a movable plasma-facing structure configured to surround the plasma. The movable plasma confinement structure also includes a movable electrically conductive structure disposed outside of the movable plasma-facing structure and configured to be deployed and retracted with the movable plasma-facing structure as a single unit to facilitate handling of the substrate. The movable electrically conductive structure is radio frequency (RF) grounded during the plasma processing. The movable plasma-facing structure is disposed between the plasma and the movable electrically conductive structure during the plasma processing such that RF current from the plasma flows to the movable electrically conductive structure through the movable plasma-facing structure during the plasma processing.
    Type: Grant
    Filed: January 28, 2009
    Date of Patent: September 24, 2013
    Assignee: Lam Research Corporation
    Inventors: Eric Hudson, Andreas Fischer
  • Publication number: 20130239891
    Abstract: At least a part of a shield plate (3) and a shutter (4) is constituted by a plurality of small pieces (3a, 3b, 4a, and 4b) linked to one another, and each of the plurality of small pieces (3a, 3b, 4a, and 4b) is provided with a linking section or linking sections by which each of the plurality of small pieces is linkable to one another and delinkable from one another.
    Type: Application
    Filed: November 28, 2011
    Publication date: September 19, 2013
    Applicant: Sharp Kabushiki Kaisha
    Inventors: Tohru Sonoda, Shinichi Kawato, Satoshi Inoue, Satoshi Hashimoto
  • Patent number: 8536550
    Abstract: A method for cleaning collector mirrors in an EUV light generator in which a target is made into a plasma state and EUV light generated is collected by a collector mirror, the method being adopted to the EUV light generator for cleaning contaminants adhering thereto, the method comprising: preparing at least two collector mirrors; locating one of the mirrors at an EUV light condensing position while locating the other mirror at a cleaning position; determining whether the mirror at the cleaning position is cleaned while determining whether the mirror at the light condensing position requires cleaning; and once determined that the mirror at the cleaning position is cleaned and the mirror at the light condensing position requires cleaning, conveying the mirror at the light condensing position and requiring cleaning to the cleaning position while conveying the mirror at the cleaning position and having been cleaned to the light condensing position.
    Type: Grant
    Filed: June 4, 2009
    Date of Patent: September 17, 2013
    Assignee: Gigaphoton Inc.
    Inventors: Takeshi Asayama, Hiroshi Someya, Masato Moriya, Hideo Hoshino, Tamotsu Abe
  • Patent number: 8524004
    Abstract: A substrate processing chamber for processing a plurality of wafers in batch mode. In one embodiment the chamber includes a vertically aligned housing having first and second processing areas separated by an internal divider, the first processing area positioned directly over the second processing area; a multi-zone heater operatively coupled to the housing to heat the first and second processing areas independent of each other; a wafer transport adapted to hold a plurality of wafers within the processing chamber and move vertically between the first and second processing areas; a gas distribution system adapted to introduce ozone into the second area and steam into the first processing area; and a gas exhaust system configured to exhaust gases introduced into the first and second processing areas.
    Type: Grant
    Filed: June 15, 2011
    Date of Patent: September 3, 2013
    Assignee: Applied Materials, Inc.
    Inventors: Dmitry Lubomirsky, Jay D. Pinson, II, Kirby H. Floyd, Adib Khan, Shankar Venkataraman
  • Publication number: 20130220546
    Abstract: An apparatus for formation of element(s) of an electrochemical cell using a complete process. The apparatus includes a first work piece configured to a transfer device, a source of material in fluid form, a reaction region operably coupled to the source of material and a second work piece configured within a distance of the reaction region. The apparatus also has an energy source configured to the reaction region to subject a portion of the material to energy to substantially evaporate the portion of the material within a time period and cause deposition of a gaseous species derived from the evaporated material onto a surface region of the second work piece to form a thickness of material for a component of the solid state electrochemical device and a vacuum chamber to maintain at least the first and second work pieces, the reaction region, and the material within a vacuum environment.
    Type: Application
    Filed: April 1, 2013
    Publication date: August 29, 2013
    Applicant: Sakti 3, Inc.
    Inventor: Sakti 3, Inc.
  • Patent number: 8518183
    Abstract: A film deposition apparatus is configured to deposit a film on a substrate by carrying out a cycle of alternately supplying at least two kinds of reaction gases that react with each other to the substrate to stack multiple layers of a reaction product in a vacuum chamber so that a thin film is formed. The film deposition apparatus includes a rotation table, a substrate providing area, a first reaction gas supplying part, a second reaction gas supplying part, a separation area, a center part area, an evacuation opening, and a substrate cooling part.
    Type: Grant
    Filed: August 26, 2009
    Date of Patent: August 27, 2013
    Assignee: Tokyo Electron Limited
    Inventor: Manabu Honma
  • Publication number: 20130216711
    Abstract: Apparatus for treating and/or coating the surface of substrate components by deposition from the gas phase. A plurality of substrate carriers and a plurality of coating and/or treating units are arranged in a deposition or treatment chamber which can be evacuated. The system can be equipped in a modular fashion such that the substrate components introduced into the system in a batch can be subjected to different treatments. Method for treating and/or coating the surface of substrate components. The procedure comprises: a) compiling coating and/or treating units and shielding elements from modules in the deposition or treatment chamber; b) equipping the substrate carriers with those substrate components that are to be subjected to the same treatment; c) closing the deposition or treatment chamber; and d) carrying out the individual treatment or coating programs for the substrate components combined in groups on the substrate carriers in one batch.
    Type: Application
    Filed: April 1, 2013
    Publication date: August 22, 2013
    Applicant: GUEHRING OHG
    Inventor: Guehring OHG
  • Patent number: 8512473
    Abstract: A substrate centering device for an organic material deposition system comprises: a plurality of substrate support holders configured to be reciprocally movable in a facing direction within an organic material deposition chamber and supporting both side portions of a substrate loaded by a robot; a substrate centering unit configured to be reciprocally movable at each of the substrate support holders and centering the substrate by guiding both side portions of the substrate; and a plurality of substrate clampers configured to be reciprocally movable in a vertical direction at each of the substrate support holders, and clamping the substrate that has been centered by the substrate centering unit.
    Type: Grant
    Filed: September 14, 2010
    Date of Patent: August 20, 2013
    Assignee: Samsung Display Co., Ltd.
    Inventors: Jae-Mork Park, You-Min Cha, Won-Seok Cho, Jae-Hong Ahn, Min-Jeong Hwang
  • Publication number: 20130206067
    Abstract: A film deposition apparatus includes a first plasma processing unit which performs a plasma process to a substrate at a second process area wherein the first plasma processing unit includes a first surrounding portion for forming a plasma generation space where plasma is generated, provided with a discharge port at a lower end portion, a second process gas supplying unit which supplies a second process gas to a plasma generation space, an activating unit which activates the second process gas in the plasma generation space, and a second surrounding portion provided below the first surrounding portion for forming a guide space which extends from a center portion side to an outer periphery portion side of the turntable so that the plasma discharged from the discharge port is guided to the surface of the turntable.
    Type: Application
    Filed: February 7, 2013
    Publication date: August 15, 2013
    Applicant: Tokyo Electron Limited
    Inventor: Tokyo Electron Limited
  • Publication number: 20130210238
    Abstract: A substrate processing chamber and methods for processing multiple substrates is provided and generally includes a plurality of spaced gas distribution assemblies and a substrate support apparatus to rotate substrates along a path adjacent each of the plurality of gas distribution assemblies. Each of the gas distribution assemblies comprises a plurality of elongate gas ports extending in a direction substantially perpendicularly to the path traversed by the substrate.
    Type: Application
    Filed: March 7, 2013
    Publication date: August 15, 2013
    Inventor: Joseph Yudovsky
  • Publication number: 20130203202
    Abstract: vapor transport deposition system and method that includes a vaporizer and distributor unit and at least one auxiliary process unit for integrating thin-film layer deposition with one or more pre- or post-deposition processes.
    Type: Application
    Filed: January 30, 2013
    Publication date: August 8, 2013
    Applicant: FIRST SOLAR, INC.
    Inventor: FIRST SOLAR, INC.
  • Publication number: 20130203268
    Abstract: A disclosed film deposition apparatus has a separation area arranged between a first process area and a second area as viewed from a wafer that is rotated by a turntable, and a modification area arranged between the second process area and the first process area as viewed from the wafer that is rotated by the turntable where a modification process is performed on a reaction product formed on the wafer by a plasma generating unit. Further, a protruding portion is arranged at a casing that surrounds the modification area, and the atmospheric pressure of the modification area is arranged to be higher than the atmospheric pressure of the areas adjacent to the modification area.
    Type: Application
    Filed: January 30, 2013
    Publication date: August 8, 2013
    Applicant: Tokyo Electron Limited
    Inventor: Tokyo Electron Limited
  • Publication number: 20130200386
    Abstract: In one aspect, crystallization of multiple layers of amorphous materials is disclosed. In one embodiment, multiple layers of amorphous materials such as amorphous silicon, silicon carbide, and/or germanium are deposited using deposition methods such as PECVD or sputtering. A layer of metal such as aluminum is deposited on the surface of the deposited amorphous materials using sputtering or evaporation, and the structure is annealed in a hydrogen environment. The structure is contained on a semiconductor substrate, glass, a flexible metal/organic film, or other type of substrate.
    Type: Application
    Filed: June 8, 2011
    Publication date: August 8, 2013
    Applicants: SILICON SOLAR SOLUTIONS, LLC, BOARD OF TRUSTEES OF THE UNIVERSITY OF ARKANSAS
    Inventors: Douglas Arthur Hutchings, Seth Daniel Shumate, Hameed Naseem, Khalil Hashim Sharif, Hafeezuddin Mohammed
  • Publication number: 20130196490
    Abstract: A method that includes implantation of dopants while a III-nitride body is being grown on a substrate, and an apparatus for the practice of the method.
    Type: Application
    Filed: March 7, 2013
    Publication date: August 1, 2013
    Applicant: International Rectifier Corporation
    Inventor: International Rectifier Corporation
  • Publication number: 20130192761
    Abstract: A substrate processing system for processing multiple substrates is provided and generally includes at least one processing platform and at least one staging platform. Each substrate is positioned on a substrate carrier disposed on a substrate support assembly. Multiple substrate carriers, each is configured to carry a substrate thereon, are positioned on the surface of the substrate support assembly. The processing platform and the staging platform, each includes a separate substrate support assembly, which can be rotated by a separate rotary track mechanism. Each rotary track mechanism is capable of supporting the substrate support assembly and continuously rotating multiple substrates carried by the substrate carriers and disposed on the substrate support assembly. Each substrate is thus processed through at least one shower head station and at least one buffer station, which are positioned at a distance above the rotary track mechanism of the processing platform.
    Type: Application
    Filed: January 30, 2013
    Publication date: August 1, 2013
    Inventors: Joseph Yudovsky, Ralf Hofmann, Jeonghoon Oh, Li-Qun Xia, Toshiaki Fujita, Pravin K. Narwankar, Nag B, Patibandla, Srinivas Satya, Banqiu Wu
  • Publication number: 20130192524
    Abstract: A processing chamber having a plurality of movable substrate carriers stacked therein for continuously processing a plurality of substrates is provided. The movable substrate carrier is capable of being transported from outside of the processing chamber, e.g., being transferred from a load luck chamber, into the processing chamber and out of the processing chamber, e.g., being transferred into another load luck chamber. Process gases delivered into the processing chamber are spatially separated into a plurality of processing slots, and/or temporally controlled. The processing chamber can be part of a multi-chamber substrate processing system.
    Type: Application
    Filed: January 31, 2013
    Publication date: August 1, 2013
    Inventors: Banqiu Wu, Nag B. Patibandla, Toshiaki Fujita, Ralf Hofmann, Pravin K. Narwankar, Jeonghoon Oh, Srinivas Satya, Li-Qun Xia
  • Patent number: 8491751
    Abstract: A diameter of a mounting unit of the stage of an ashing processing apparatus is less than a diameter of a mounting unit of the stage of an etching processing apparatus, and the diameter of the mounting unit of the stage of the etching processing apparatus is less than a diameter of an objective item.
    Type: Grant
    Filed: April 26, 2012
    Date of Patent: July 23, 2013
    Assignee: Hitachi High-Technologies Corporation
    Inventors: Hiroyuki Kobayashi, Masaru Izawa
  • Publication number: 20130180953
    Abstract: The present invention is to achieve a reduction both in size of a plasma processing apparatus and an installation area thereof. A dry etching apparatus includes a stock unit that includes a cassette storing a tray that can be conveyed and that stores substrates. In a conveying unit storing a conveying apparatus of the tray, a rotary stage is provided. Rotational angular position adjustment of the tray is performed by rotating the rotary stage placed on the tray before being subjected to dry etching and detecting a notch by a notch detecting sensor.
    Type: Application
    Filed: March 26, 2012
    Publication date: July 18, 2013
    Inventor: Tetsuhiro Iwai
  • Publication number: 20130180452
    Abstract: A film deposition apparatus deposits a thin film on a substrate by repeating a cycle of supplying plural kinds of process gases that react with each other in a vacuum chamber. The film deposition apparatus includes a turntable to hold a substrate thereon and to rotate the substrate, and a plurality of process gas supplying parts. At least one of the process gas supplying parts extends from the center to the periphery and is formed as a gas nozzle including gas discharge holes. The gas discharge holes are formed along a length direction of the gas nozzle. The film deposition apparatus also includes current plates provided on upstream and downstream sides in a rotational direction of the turntable and extending along the length direction of the gas nozzle, and having at least one bent section bent downward from an outer edge of the current plates.
    Type: Application
    Filed: January 16, 2013
    Publication date: July 18, 2013
    Applicant: Tokyo Electron Limited
    Inventor: Tokyo Electron Limited
  • Patent number: 8489237
    Abstract: Methods correcting wafer position error are provided. The methods involve measuring wafer position error on a robot during transfer to an intermediate station. This measurement data is then used by a second robot to perform wafer pick moves from the intermediate station with corrections to center the wafer. Wafer position correction may be performed at only one location during the transfer process. Also provided are systems and apparatuses for transferring wafers using an intermediate station.
    Type: Grant
    Filed: September 23, 2011
    Date of Patent: July 16, 2013
    Assignee: Novellus Systems, Inc.
    Inventors: Chris Gage, Damon Genetti
  • Patent number: 8486194
    Abstract: An apparatus for removing volatile residues from a substrate is provided. In one embodiment, an apparatus for removing halogen-containing residues from a substrate includes a chamber suitable for operating maintaining a vacuum therein and a heat module positioned to heat a substrate disposed in the chamber. The apparatus for removing halogen-containing residues from a substrate also includes at least one of A) a temperature controlled pedestal having a projection extending radially therefrom suitable for supporting the temperature control pedestal on a ledge of the chamber body, the projection thermally isolating the base from the chamber body; B) a pair of substrate holders that include two support flanges extending radially inward from an inner edge of an arc-shaped body, each support flange having a substrate support step that includes a sloped landing; or C) a domed window.
    Type: Grant
    Filed: October 16, 2012
    Date of Patent: July 16, 2013
    Assignee: Applied Materials, Inc.
    Inventors: Kenneth J. Bhang, Matthew Fenton Davis, Travis Morey, James D. Carducci
  • Publication number: 20130174781
    Abstract: Fabrication of gallium nitride-based light emitting diodes (LEDs) with physical vapor deposition (PVD) formed aluminum nitride buffer layers is described.
    Type: Application
    Filed: March 1, 2013
    Publication date: July 11, 2013
    Inventors: Mingwei Zhu, Vivek Agrawal, Nag B. Patibandla, Omkaram Nalamasu
  • Publication number: 20130171757
    Abstract: The present invention generally provides a high throughput substrate processing system that is used to form one or more regions of a solar cell device. In one configuration of a processing system, one or more solar cell passivating or dielectric layers are deposited and further processed within one or more processing chambers contained within the high throughput substrate processing system. The processing chambers may be, for example, plasma enhanced chemical vapor deposition (PECVD) chambers, low pressure chemical vapor deposition (LPCVD) chambers, atomic layer deposition (ALD) chambers, physical vapor deposition (PVD) or sputtering chambers, thermal processing chambers (e.g., RTA or RTO chambers), substrate reorientation chambers (e.g., flipping chambers) and/or other similar processing chambers.
    Type: Application
    Filed: January 2, 2013
    Publication date: July 4, 2013
    Inventors: HARI K. PONNEKANTI, Alexander S. Polyak, James L'Heureux, Michael S. Cox, Christopher T. Lane, Edward P. Hammond, IV, Hemant P. Mungekar, Susanne Schlaefer, Wolfgang Buschbeck, Juergen Henrich, Andreas Lopp
  • Patent number: 8470094
    Abstract: Apparatus for continuous coating has a chamber wall which forms a processing chamber, thermal insulation which forms a processing area within the chamber, a transportation device for substrates located in the processing area with a substrate transportation direction of the substrates lying in the lengthwise extension of the apparatus for continuous coating, and heating equipment which heats the substrates, is designed to minimize unwanted coating, in particular of parts of the apparatus, in order to minimize the expense of maintaining and servicing the apparatus A condensation element is positioned in the processing chamber, which extends into the processing area and binds the arising vapor through condensation.
    Type: Grant
    Filed: November 10, 2011
    Date of Patent: June 25, 2013
    Assignee: VON ARDENNE Anlagentechnik GmBH
    Inventors: Hubertus Von Der Waydbrink, Siegfried Scheibe, Jens Meyer, Andrej Wolf, Uwe Traeber, Michael Hentschel
  • Patent number: 8465591
    Abstract: A disclosed film deposition apparatus includes a turntable having in one surface a substrate receiving portion along a turntable rotation direction; a first reaction gas supplying portion for supplying a first reaction gas; a second reaction gas supplying portion for supplying a second reaction gas; a separation area between a first process area where the first reaction gas is supplied and a second process area where the second reaction gas is supplied, the separation area including a separation gas supplying portion for supplying a first separation gas in the separation area, and a ceiling surface opposing the one surface to produce a thin space; a center area having an ejection hole for ejecting a second separation gas along the one surface; and an evacuation opening for evacuating the chamber.
    Type: Grant
    Filed: June 25, 2009
    Date of Patent: June 18, 2013
    Assignee: Tokyo Electron Limited
    Inventors: Hitoshi Kato, Manabu Honma, Anthony Dip
  • Patent number: 8465589
    Abstract: A method of manufacture of CIGS photovoltaic cells and modules involves sequential deposition of copper indium gallium diselenide compounds in multiple thin sublayers to form a composite CIGS absorber layer of a desirable thickness greater than the thickness of each sublayer. In an embodiment, the method is adapted to roll-to-roll processing of CIGS PV cells. In an embodiment, the method is adapted to preparation of a CIGS absorber layer having graded composition through the layer. In a particular embodiment, the graded composition is enriched in copper at a base of the layer. In an embodiment, each CIGS sublayer is deposited by co-evaporation of copper, indium, gallium, and selenium which react in-situ to form CIGS.
    Type: Grant
    Filed: February 5, 2010
    Date of Patent: June 18, 2013
    Assignee: Ascent Solar Technologies, Inc.
    Inventors: Prem Nath, Venugopala R. Basava, Ajay Kumar Kalla, Peter Alex Shevchuk, Mohan S. Misra
  • Patent number: 8465592
    Abstract: A disclosed film deposition apparatus includes a turntable having in one surface a substrate receiving portion along a turntable rotation direction; a first reaction gas supplying portion for supplying a first reaction gas; a second reaction gas supplying portion for supplying a second reaction gas; a separation area between a first process area where the first reaction gas is supplied and a second process area where the second reaction gas is supplied, the separation area including a separation gas supplying portion for supplying a first separation gas in the separation area, and a ceiling surface opposing the one surface to produce a thin space; a center area having an ejection hole for ejecting a second separation gas along the one surface; and an evacuation opening for evacuating the chamber.
    Type: Grant
    Filed: February 25, 2011
    Date of Patent: June 18, 2013
    Assignee: Tokyo Electron Limited
    Inventors: Hitoshi Kato, Manabu Honma, Anthony Dip
  • Publication number: 20130149871
    Abstract: The present disclosure provides for methods and systems for controlling profile uniformity of a chemical vapor deposition (CVD) film. A method includes depositing a first layer on a substrate by CVD with a first shower head, the first layer having a first profile, and depositing a second layer over the first layer by CVD with a second shower head, the second layer having a second profile. The combined first layer and second layer have a third profile, and the first profile, the second profile, and the third profile are different from one another.
    Type: Application
    Filed: December 7, 2011
    Publication date: June 13, 2013
    Applicant: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD.
    Inventors: Ming-Shiou Kuo, Chih-Tsung Lee, You-Hua Chou, Ming-Chin Tsai, Chia-Ho Chen, Chin-Hsiang Lin
  • Publication number: 20130149467
    Abstract: A film deposition apparatus includes a vacuum chamber into which first and second gases are sequentially supplied for a plural times, a rotation table including a first surface having a receiving area and rotating the receiving area inside the vacuum chamber, a first part supplying the first gas to a first region, a second part supplying the second gas to a second region separated from the first region in a peripheral direction of the rotation table via a separation region, a plasma gas part supplying a plasma generation gas into a plasma region inside the vacuum chamber, an antenna facing the first surface of the rotation table and generating plasma from the plasma generation gas inside a plasma space by inductive coupling, and a faraday shield being grounded and provided between the antenna and the plasma space and including slits aligned in a direction perpendicularly intersecting the antenna.
    Type: Application
    Filed: May 9, 2012
    Publication date: June 13, 2013
    Applicant: Tokyo Electron Limited
    Inventors: Hitoshi KATO, Katsuyuki Hishiya, Hiroyuki Kikuchi, Shigehiro Ushikubo, Shigenori Ozaki
  • Patent number: 8460467
    Abstract: A vacuum processing apparatus includes a transfer unit disposed at a center thereof, plural processing chambers, each processing chamber having a processing table for supporting an object to be processed and carrying out processing using a gas, and a mass flow controller unit interposed between two of the processing chambers for supplying gas to the chambers.
    Type: Grant
    Filed: July 6, 2011
    Date of Patent: June 11, 2013
    Assignee: Hitachi High-Technologies Corporation
    Inventors: Akitaka Makino, Youji Takahashi, Minoru Soraoka, Hideki Kihara, Susumu Tauchi
  • Patent number: 8460468
    Abstract: A device for doping, deposition or oxidation of semiconductor material at low pressure in a process tube, is provided with a tube closure as well as devices for supplying and discharging process gases and for generating a negative pressure in the process tube. A closure of the process chamber that is gas tight with respect to the process gases and the vacuum tight seal of the end of the tube closure are spatially separated from each other in relation to the atmosphere and are arranged on a same side of the process tube in such a manner that a bottom of a stopper, sealing the process chamber, rests against a sealing rim of the process tube and the tube closure end is sealed vacuum tight by a collar, which is attached to the process tube and against which a door rests sealingly.
    Type: Grant
    Filed: August 1, 2012
    Date of Patent: June 11, 2013
    Assignee: Centrotherm Photovoltaics AG
    Inventors: Alexander Piechulla, Claus Rade, Robert Michael Hartung
  • Patent number: 8454750
    Abstract: The present invention addresses provides improved methods of preparing a low-k dielectric material on a substrate. The methods involve multiple operation ultraviolet curing processes in which UV intensity, wafer substrate temperature and other conditions may be independently modulated in each operation. In certain embodiments, a film containing a structure former and a porogen is exposed to UV radiation in a first operation to facilitate removal of the porogen and create a porous dielectric film. In a second operation, the film is exposed to UV radiation to increase cross-linking within the porous film. In certain embodiments, the curing takes place in a multi-station UV chamber wherein UV intensity and substrate temperature may be independently controlled at each station.
    Type: Grant
    Filed: March 20, 2007
    Date of Patent: June 4, 2013
    Assignee: Novellus Systems, Inc.
    Inventors: Krishnan Shrinivasan, Michael Rivkin, Eugene Smargiassi, Mohamed Sabri