Multizone Chamber Patents (Class 118/719)
  • Publication number: 20140311410
    Abstract: Provided is a film-forming apparatus capable of cleaning a discharge apparatus under a state in which a film-forming space and a cleaning gas ambience are separated from each other while continuing to form a film on an object to be film-formed having a film-like shape.
    Type: Application
    Filed: July 3, 2014
    Publication date: October 23, 2014
    Inventors: Yixin YANG, Yoshiyuki MITSUHASHI, Masayuki IIJIMA, Sadatsugu WAKAMATSU, Kazuhiko SAITO, Tomoharu FUJII, Tsuyoshi YOSHIMOTO, Togo HOSOYA, Takayoshi HIRONO, Nobuhiro HAYASHI, Nobuaki KAKUTANI, Naoki SUNAGAWA, Isao TADA, Hiroyuki HIRANO
  • Patent number: 8864933
    Abstract: In a substrate treatment method for supplying a coating solution to a substrate with projections and depressions on a front surface thereof to form a coating film on the front surface of the substrate, the coating solution is supplied to the rotating substrate to form a coating film on the front surface of the substrate, and the substrate having the coating film formed thereon is heated to adjust an etching condition of the coating film. Next, the etching solution is supplied to the rotating substrate to etch the coating film, and thereafter the coating solution is supplied to the substrate to form a flat coating film on the front surface of the substrate. Thereafter, the substrate is heated to cure the coating film. This flattens the coating film with uniformity and high accuracy without undergoing a high-load process such as chemical mechanical polishing.
    Type: Grant
    Filed: October 20, 2010
    Date of Patent: October 21, 2014
    Assignee: Tokyo Electron Limited
    Inventors: Shouichi Terada, Tsuyoshi Mizuno, Takeshi Uehara
  • Patent number: 8865259
    Abstract: Disclosed are an inline chemical vapor deposition method and system for fabricating a device. The method includes transporting a web or discrete substrate through a deposition chamber having a plurality of deposition modules. A buffer layer, a window layer and a transparent conductive layer are deposited onto the substrate during passage through a first deposition module, a second deposition module and a third deposition module, respectively. Advantageously, the steps for generating the buffer layer, window layer and transparent conductive layer are performed sequentially in a common vacuum environment of a single deposition chamber and the use of a conventional chemical bath deposition process to deposit the buffer layer is eliminated. The method is suitable for the manufacture of different types of devices including various types of solar cells such as copper indium gallium diselenide solar cells.
    Type: Grant
    Filed: June 9, 2011
    Date of Patent: October 21, 2014
    Assignee: Singulus MOCVD GmbH I.GR.
    Inventors: Piero Sferlazzo, Thomas Michael Lampros
  • Patent number: 8858710
    Abstract: An object is to suppress differences in concentration between processing gases supplied to a plurality of works in a chemical solution vaporizing tank. The chemical solution vaporizing tank includes a tank body having a plurality of vaporizing chambers formed by laterally and airtightly partitioning an internal space of the tank body, a chemical solution passage located under a liquid level in each vaporizing chamber and formed at each partition member for passing the chemical solution between the vaporizing chambers, and a gas passage located above the liquid level in each vaporizing chamber and formed at the partition member to communicate the vaporizing chambers with each other for uniformizing pressures in the respective vaporizing chambers. A quantity of the channel layer in each vaporizing chamber is controlled by managing, e.g., the liquid level.
    Type: Grant
    Filed: July 14, 2008
    Date of Patent: October 14, 2014
    Assignee: Tokyo Electron Limited
    Inventors: Kouichi Mizunaga, Hiroyuki Kudoh, Kazuhiko Ooshima
  • Patent number: 8858716
    Abstract: In a vacuum processing apparatus, a substrate chuck mechanism member is attached to a substrate holder provided in a vacuum processing chamber, includes a shaft member, first and second coil springs that are provided at the two ends, respectively, of the shaft member, and a substrate chuck plate provided at the end of the shaft member, and is additionally attached to the substrate holder using the substrate chuck plate by elastic biasing of the first coil spring. The holding state of the substrate on the substrate holder is changed by the expansion/contraction actions of the first and second coil springs in accordance with the reciprocal movement of the substrate holder.
    Type: Grant
    Filed: December 21, 2010
    Date of Patent: October 14, 2014
    Assignee: Canon Anelva Corporation
    Inventors: Fumiaki Hoshino, Hajime Hiraiwa, Katsuhiko Miura
  • Patent number: 8859042
    Abstract: Embodiments of the invention generally relate to methods for chemical vapor deposition (CVD) processes. In one embodiment, a method for heating a substrate or a substrate susceptor within a vapor deposition reactor system includes exposing a lower surface of a substrate susceptor, such as a wafer carrier, to energy emitted from a heating lamp assembly, and heating the substrate susceptor to a predetermined temperature. The heating lamp assembly generally contains a lamp housing disposed on an upper surface of a support base and contains at least one lamp holder, a plurality of lamps extending from the lamp holder, and a reflector disposed on the upper surface of the support base, next to the lamp holder, and below the lamps. The plurality of lamps may have split filament lamps and/or non-split filament lamps for heating inner and outer portions of the substrate susceptor.
    Type: Grant
    Filed: March 16, 2010
    Date of Patent: October 14, 2014
    Assignee: Alta Devices, Inc.
    Inventors: Gang He, Gregg Higashi, Khurshed Sorabji, Roger Hamamjy, Andreas Hegedus
  • Publication number: 20140302624
    Abstract: A deposition apparatus configured to perform a deposition process on a substrate, the deposition apparatus including a chamber having an exhaust opening in a surface, a deposition source in the chamber configured to eject one or more deposition materials toward the substrate, a cooling plate corresponding to an inner surface of the chamber, at which the exhaust opening is formed, a refrigerator contacting the cooling plate, and a pump coupled to the exhaust opening.
    Type: Application
    Filed: August 28, 2013
    Publication date: October 9, 2014
    Applicant: Samsung Display Co., Ltd.
    Inventors: Sun-Ho Kim, Myung-Soo Huh, Jeong-Ho Yi, Cheol-Rae Jo, Hyun-Woo Joo, Yong-Suk Lee
  • Publication number: 20140299056
    Abstract: Epitaxial films are grown by alternately exposed to precursor dosing regions, inert gas plasma regions, hydrogen-containing plasma regions, chlorine-containing plasma and metrology regions, or regions where an atomic hydrogen source is located. Alternately, laser irradiation techniques may be substituted for the plasma energy in some of the processing regions. The film growth process can be implemented at substrate temperatures between about 25 C and about 600 C, together with optional exposures to laser irradiation to cause the surface of the film to melt or to experience a near-melt condition.
    Type: Application
    Filed: June 19, 2014
    Publication date: October 9, 2014
    Inventors: Philip Kraus, Boris Borisov, Dipankar Pramanik
  • Patent number: 8852345
    Abstract: Electronic devices are formed on a substrate that is advanced stepwise through a plurality of deposition vessels. Each deposition vessel includes a source of deposition material and has at least two shadow masks associated therewith. Each of the two masks is alternately positioned within the corresponding deposition vessel for patterning the deposition material onto the substrate through apertures in the mask positioned therein, and positioned in an adjacent cleaning vessel for mask cleaning. The patterning onto the substrate and the cleaning of at least one of the masks are performed concurrently.
    Type: Grant
    Filed: April 16, 2009
    Date of Patent: October 7, 2014
    Assignee: Advantech Global, Ltd
    Inventor: Thomas Peter Brody
  • Patent number: 8852343
    Abstract: Apparatus for vapor phase growing of crystals having a single multi-zone heater arranged to heat a heated zone to give a predetermined temperature profile along the length of the heated zone. A generally U-shaped tube having a first limb, a second limb, and a linkage connecting the first and second limbs is located on the heated zone. The first limb contains a source material. The second limb supports a seed such that the source material and seed are spaced longitudinally within the heated zone to provide a predetermined temperature differential between the source and seed. The crystal is grown on the seed.
    Type: Grant
    Filed: May 16, 2008
    Date of Patent: October 7, 2014
    Assignee: Kromek Limited
    Inventors: Arnab Basu, Ben Cantwell, Max Robinson
  • Publication number: 20140290861
    Abstract: An apparatus for processing a flexible substrate is described. The apparatus includes a vacuum chamber having a first chamber portion, a second chamber portion and a third chamber portion, an unwinding shaft, a coating drum having a rotation axis and a curved outer surface for guiding the substrate along the curved outer surface through a first vacuum processing region and at least one second vacuum processing region, wherein a first portion of the coating drum is provided in the second chamber portion and the remaining portion of the coating drum is provided in the third chamber portion, a first processing station corresponding to the first processing region and at least one second processing station corresponding to the at least one second vacuum processing region, wherein the first processing station and the second processing station each includes a flange portion.
    Type: Application
    Filed: May 14, 2013
    Publication date: October 2, 2014
    Applicant: APPLIED MATERIALS, INC.
    Inventors: Jose Manuel DIEGUEZ-CAMPO, Heike LANDGRAF, Tobias STOLLEY, Stefan HEIN, Florian RIES, Morrison NEIL
  • Publication number: 20140290857
    Abstract: In a method for forming a stacked substrate of a MOS (Metal Oxide Semiconductor) structure including an oxide film serving as a gate insulating film formed on a semiconductor material layer having a film or substrate shape; and a conductive film serving as a gate electrode formed on the oxide film, a polysilane film on the semiconductor material layer is formed by coating a polysilane solution on a surface of a substrate to which the semiconductor material layer is exposed. A film containing metal ions is formed on the polysilane film by coating a metal salt solution thereon, and the polysilane film and the film containing metal ions are respectively modified into a polysiloxane film and a film containing fine metal particles to form the stacked substrate.
    Type: Application
    Filed: June 17, 2014
    Publication date: October 2, 2014
    Applicant: TOKYO ELECTRON LIMITED
    Inventors: Hidenori MIYOSHI, Shuji AZUMO
  • Patent number: 8845854
    Abstract: Front side laser scribing and plasma etch are performed followed by back side grind to singulate integrated circuit chips (ICs). A mask is formed covering ICs formed on the wafer, as well as any bumps providing an interface to the ICs. The mask is patterned by laser scribing to provide a patterned mask with gaps. The patterning exposes regions of the semiconductor wafer, below thin film layers from which the ICs are formed. The semiconductor wafer is then etched through the gaps in the patterned mask to advance a front of an etched trench partially through the semiconductor wafer thickness. The front side mask is removed, a backside grind tape applied to the front side, and a back side grind performed to reach the etched trench, thereby singulating the ICs.
    Type: Grant
    Filed: July 10, 2013
    Date of Patent: September 30, 2014
    Assignee: Applied Materials, Inc.
    Inventors: Wei-Sheng Lei, Brad Eaton, Madhava Rao Yalamanchili, Saravjeet Singh, Ajay Kumar
  • Patent number: 8845809
    Abstract: One embodiment provides an apparatus for material deposition. The apparatus includes a reaction chamber, and a pair of susceptors. Each susceptor has a front side mounting substrates and a back side. The front sides of the vertically positioned susceptors face each other, and the vertical edges of the susceptors are in contact with each other. The apparatus also includes a number of gas nozzles for injecting reaction gases. The gas flow directions inside the chamber can be alternated by controlling the gas nozzles. The gas nozzles are configured to inject a small amount of purge gas including at least one of: HCl, SiCl4, and H2 when the gas nozzles are not injecting reaction gas. The apparatus includes a number of heating units situated outside the reaction chamber. The heating units are arranged in such a way that they radiate heat energy directly to the back sides of the susceptors.
    Type: Grant
    Filed: September 9, 2009
    Date of Patent: September 30, 2014
    Assignee: Silevo, Inc.
    Inventors: Steve Poppe, Yan Rozenzon, David Z. Chen, Xiaole Yan, Peijun Ding, Zheng Xu
  • Publication number: 20140287588
    Abstract: [Object] To provide a deposition method and a deposition apparatus, which are capable of cleaning a surface of a silicon substrate and causing a single crystal film having excellent crystallinity to grow on the surface. [Solving Means] A deposition method according to an embodiment of the present invention includes a process of etching a natural oxide film formed on a surface of a silicon substrate. The surface of the silicon substrate is cleaned. A film is caused to grow on the cleaned surface of the silicon substrate, the film including at least one of silicon and germanium.
    Type: Application
    Filed: April 26, 2013
    Publication date: September 25, 2014
    Inventor: Seiichi Takahashi
  • Patent number: 8840728
    Abstract: The present invention is a template treatment apparatus forming a film of a release agent on a template having a transfer pattern formed on a front surface thereof, the template treatment apparatus including: a treatment station forming a film of a release agent on the front surface of the template; and a template carry-in/out station capable of keeping a plurality of the templates, and carrying the template into/out of the treatment station, wherein the treatment station includes: a cleaning unit cleaning the front surface of the template; a coating unit applying a release agent to the cleaned front surface of the template; a heating unit baking the applied release agent; and a carry unit carrying the template to the cleaning unit, the coating unit, and the heating unit.
    Type: Grant
    Filed: June 21, 2010
    Date of Patent: September 23, 2014
    Assignee: Tokyo Electron Limited
    Inventors: Shoichi Terada, Yoshio Kimura, Takahiro Kitano
  • Patent number: 8840752
    Abstract: Disclosed are a flow path switching apparatus and a fluid processing apparatus having a liquid processing unit that performs a processing by supplying different kinds of processing fluid to wafer W at different timings. The atmosphere of the liquid processing unit is discharged fluid to a plurality of exclusive exhaust paths through exhaust paths and flow path switching units. A flow path switching unit includes an outer tube having a plurality of connection holes and a rotary tube inserted into the outer tube having a plurality of openings. In particular, one of the plurality of openings of the rotary tube is aligned with one of the plurality of connection holes of the outer tube in such a way that only an aligned set of an opening of the rotary tube and a connection hole of the outer tube is sequentially communicated during the rotation of the rotary tube.
    Type: Grant
    Filed: June 15, 2011
    Date of Patent: September 23, 2014
    Assignee: Tokyo Electron Limited
    Inventors: Nobuhiro Ogata, Shuichi Nagamine, Kenji Kiyota
  • Patent number: 8840727
    Abstract: A film deposition apparatus for depositing a thin film on a substrate by feeding at least two kinds of reaction gases in a vacuum chamber includes a turntable; a substrate placement part on the turntable; a first and a second reaction gas feed part provided apart from each other to feed a first and a second reaction gas into a first and a second process region, respectively, on the turntable; a separation region positioned between the first and second process regions and including a first separation gas feed part to feed a first separation gas and a ceiling surface; a center part region positioned inside the vacuum chamber and including an ejection opening for ejecting a second separation gas; an evacuation port; and a drive part to rotate the turntable so that the substrate passes through the first and second process regions at different angular velocities of the turntable.
    Type: Grant
    Filed: August 31, 2009
    Date of Patent: September 23, 2014
    Assignee: Tokyo Electron Limited
    Inventors: Hitoshi Kato, Manabu Honma
  • Publication number: 20140272684
    Abstract: A processing system includes: a vacuum chamber; a plurality of processing systems attached around the vacuum chamber; and a wafer handling system in the vacuum chamber for moving the wafer among the plurality of processing systems without exiting from a vacuum. A physical vapor deposition system for manufacturing an extreme ultraviolet blank comprising: a target comprising molybdenum, molybdenum alloy, or a combination thereof.
    Type: Application
    Filed: December 23, 2013
    Publication date: September 18, 2014
    Applicant: Applied Materials, Inc.
    Inventors: Ralf Hofmann, Cara Beasley, Majeed Foad
  • Publication number: 20140263165
    Abstract: A via pass-through apparatus is disclosed. The via pass-through apparatus includes a pass-through chamber adapted to couple between a first mainframe section and a second mainframe section of a substrate processing system, the pass-through chamber including an entry and an exit each having a slit valve, and a via process chamber located at a different level than the pass-through chamber wherein the via process chamber is adapted to carry out a process on a substrate at the via location. Systems and methods of operating the system are provided, as are numerous other aspects.
    Type: Application
    Filed: March 10, 2014
    Publication date: September 18, 2014
    Inventors: Steve S. Hongkham, Paul B. Reuter, Eric A. Englhardt, Ganesh Balasubramanian, Xinglong Chen, JuanCarlos Rocha-Alvarez
  • Publication number: 20140264779
    Abstract: Various techniques, methods, devices and apparatus are provided where an isolation layer is provided at a peripheral region of the substrate, and one or more metal layers are deposited onto the substrate.
    Type: Application
    Filed: February 20, 2014
    Publication date: September 18, 2014
    Applicant: Infineon Technologies Austria AG
    Inventors: Kae-Horng Wang, Francisco Javier Santos Rodriguez, Michael Knabl, Guenther Koffler
  • Publication number: 20140272108
    Abstract: A plasma processing apparatus including a vacuum chamber comprising a conduit, a process chamber, and a first gas input port for introducing gas into the vacuum chamber, and a pump port for evacuating gas from the vacuum chamber. A magnetic core surrounds the conduit. An output of an RF power supply is electrically connected to the magnetic core. The RF power supply energizes the magnetic core, thereby forming a toroidal plasma loop discharge in the vacuum chamber. A platen that supports a workpiece during plasma processing is positioned in the process chamber.
    Type: Application
    Filed: March 14, 2014
    Publication date: September 18, 2014
    Applicant: PLASMABILITY, LLC
    Inventors: William Holber, Robert J. Basnett
  • Publication number: 20140268083
    Abstract: An extreme ultraviolet mirror or blank production system includes: a first deposition system for depositing a planarization layer over a semiconductor substrate; a second deposition system for depositing an ultra-smooth layer over the planarization layer, the ultra-smooth layer having reorganized molecules; and a third deposition system for depositing a multi-layer stack over the ultra-smooth layer. The extreme ultraviolet blank includes: a substrate; a planarization layer over the substrate; an ultra-smooth layer over the planarization layer, the ultra-smooth layer having reorganized molecules; a multi-layer stack; and capping layers over the multi-layer stack. An extreme ultraviolet lithography system includes: an extreme ultraviolet light source; a mirror for directing light from the extreme ultraviolet light source; a reticle stage for placing an extreme ultraviolet mask blank with a planarization layer and an ultra-smooth layer over the planarization layer; and a wafer stage for placing a wafer.
    Type: Application
    Filed: December 23, 2013
    Publication date: September 18, 2014
    Applicant: Applied Materials, Inc.
    Inventors: Soumendra N. Barman, Cara Beasley, Abhijit Basu Mallick, Ralf Hofmann, Nitin K. Ingle
  • Publication number: 20140262038
    Abstract: Systems, chambers, and processes are provided for controlling process defects caused by moisture contamination. The systems may provide configurations for chambers to perform multiple operations in a vacuum or controlled environment. The chambers may include configurations to provide additional processing capabilities in combination chamber designs. The methods may provide for the limiting, prevention, and correction of aging defects that may be caused as a result of etching processes performed by system tools.
    Type: Application
    Filed: April 7, 2014
    Publication date: September 18, 2014
    Applicant: APPLIED MATERIALS, INC.
    Inventors: Anchuan Wang, Xinglong Chen, Zihui Li, Hiroshi Hamana, Zhijun Chen, Ching-Mei Hsu, Jiayin Huang, Nitin K. Ingle, Dmitry Lubomirsky, Shankar Venkataraman, Randhir Thakur
  • Publication number: 20140262036
    Abstract: A process load lock apparatus is disclosed. The process load lock apparatus includes a load lock chamber adapted to couple between a mainframe section and a factory interface, the load lock chamber including an entry and an exit each having a slit valve, and a load lock process chamber located at a different level than the load lock chamber at the load lock location wherein the load lock process chamber is adapted to carry out a process on a substrate, such as oxide removal or other processes. Systems including the process load lock apparatus and methods of operating the process load lock apparatus are provided. A lift assembly including a containment ring is also disclosed, as are numerous other aspects.
    Type: Application
    Filed: March 10, 2014
    Publication date: September 18, 2014
    Applicant: Applied Materials, Inc.
    Inventors: Paul B. Reuter, Ganesh Balasubramanian, JuanCarlos Rocha-Alvarez, Jeffrey B. Robinson, Dale Robert du Bois, Paul Connors
  • Publication number: 20140273515
    Abstract: Embodiments of an integrated platform for fabricating n-type metal oxide semiconductor (NMOS) devices are provided herein. In some embodiments, an integrated platform for fabricating n-type metal oxide semiconductor (NMOS) devices may include a first deposition chamber configured to deposit a first layer atop the substrate, the first layer comprising titanium oxide (TiO2) or selenium (Se); a second deposition chamber configured to deposit a second layer atop the first layer, the second layer comprising titanium; a third deposition chamber configured to deposit a third layer atop the second layer, the third layer comprising one of titanium nitride (TiN) or tungsten nitride (WN).
    Type: Application
    Filed: March 14, 2014
    Publication date: September 18, 2014
    Applicant: Applied Materials, Inc.
    Inventors: AVGERINOS V. GELATOS, SRINIVAS GANDIKOTA, SESHADRI GANGULI, XINYU FU, BO ZHENG, YU LEI
  • Publication number: 20140273404
    Abstract: In some embodiments, methods are described that allow the processing of a substrate using microwave-based degas systems. The methods allow process variables such as power, dwell time, frequency, backside cooling gas usage, backside cooling gas flow rate, and the like to be investigated. In some embodiments, apparatus are described that allow the investigation of process variables used in microwave-based degas systems to remove adsorbed species from the surface of a substrate. The apparatus allow process variables such as power, dwell time, frequency, backside cooling gas usage, backside cooling gas flow rate, and the like to be investigated.
    Type: Application
    Filed: November 27, 2013
    Publication date: September 18, 2014
    Applicant: Intermolecular, Inc.
    Inventors: Kent Riley Child, Minh Huu Le
  • Publication number: 20140262035
    Abstract: A transfer chamber for semiconductor device manufacturing includes (1) a plurality of sides that define a region configured to maintain a vacuum level and allow transport of substrates between processing chambers, the plurality of sides defining a first portion and a second portion of the transfer chamber and including (a) a first side that couples to two twinned processing chambers; and (b) a second side that couples to a single processing chamber; (2) a first substrate handler located in the first portion of the transfer chamber; (3) a second substrate handler located in the second portion of the transfer chamber; and (4) a hand-off location configured to allow substrates to be passed between the first portion and the second portion of the transfer chamber using the first and second substrate handlers. Method aspects are also provided.
    Type: Application
    Filed: February 14, 2014
    Publication date: September 18, 2014
    Inventors: Nir Merry, Michael Robert Rice, Sushant S. Koshti, Jeffrey C. Hudgens
  • Patent number: 8834632
    Abstract: In a method of manufacturing a carbon nanotube, a boat configured to receive substrates is positioned outside of a synthesis space where the carbon nanotube is synthesized. The substrates are loaded into the boat. The boat is then transferred to the synthesis space. A process for forming the carbon nanotube is performed on the substrates in the synthesis space to form the carbon nanotube. Thus, the carbon nanotube may be effectively manufactured.
    Type: Grant
    Filed: November 30, 2007
    Date of Patent: September 16, 2014
    Assignee: Korea Kumho Petrochemical Co., Ltd
    Inventors: Ho-Soo Hwang, Sung-Soo Kim, Jung-Keun Cho
  • Publication number: 20140251501
    Abstract: A method and system for die compensation and restoration uses high-velocity oxy-fuel (HVOF) thermal spray coating and plasma ion nitriding to compensate for a particular part (damaged part) of a press die that causes formation of fine curves at a door of a vehicle to restore it to its original state. A coating thickness quantification technique may precisely compensate for the damaged part of the die that causes formation of the fine curves at the door of the vehicle in a circular form using HVOF thermal spray coating. A surface of the die may be nitrided using plasma ion nitriding after HVOF thermal spray coating is performed, so as to harden the surface of the die so that wear resistance and fatigue resistance of the die can be greatly improved and the hardfacing or overlay welding efficiency of the die can be increased.
    Type: Application
    Filed: September 6, 2013
    Publication date: September 11, 2014
    Applicants: IUCF-HYU (Industry-University Cooperation Foundation Hanyang University), Kia Motors Corporation
    Inventors: Chul Ho Kang, Sang Hwan Jun, Deuk Yong Kim, Ga Young Park, Gyu Yeol Bae, Chang Hee Lee
  • Patent number: 8821099
    Abstract: A substrate loading device having a frame, a cassette support, and a user interface. The frame is connected to a substrate processing apparatus. The frame has a transport opening through which substrates are transported between the device and processing apparatus. The cassette support is connected to the frame for holding at least one substrate holding cassette. The user interface is arranged for inputting information, and is mounted to the frame so that the user interface is integral with the frame.
    Type: Grant
    Filed: July 11, 2005
    Date of Patent: September 2, 2014
    Assignee: Brooks Automation, Inc.
    Inventors: Daniel A. Hall, Glenn L. Sindledecker, Matthew W. Coady, Marcello Trolio, Michael Spinazola
  • Publication number: 20140242268
    Abstract: A method of fabricating a magnetic recording medium sequentially forms a magnetic recording layer, a protection layer, and a lubricant layer on a stacked body. The lubricant layer is formed on a surface of the protection layer by vapor-phase lubrication without exposing the stacked body to atmosphere after forming the protection layer on the stacked body. Nitrogen atoms or oxygen atoms are injected onto the surface of the protection layer after forming the protection layer and before forming the lubricant layer.
    Type: Application
    Filed: February 4, 2014
    Publication date: August 28, 2014
    Applicant: SHOWA DENKO K.K.
    Inventor: Ichiro OTA
  • Patent number: 8815013
    Abstract: A system for processing a semiconductor substrate is provided. The system includes a mainframe having a plurality of modules attached thereto. The modules include processing modules, storage modules, and transport mechanisms. The processing modules may include combinatorial processing modules and conventional processing modules, such as surface preparation, thermal treatment, etch and deposition modules. In one embodiment, at least one of the modules stores multiple masks. The multiple masks enable in-situ variation of spatial location and geometry across a sequence of processes and/or multiple layers of a substrate to be processed in another one of the modules. A method for processing a substrate is also provided.
    Type: Grant
    Filed: February 7, 2007
    Date of Patent: August 26, 2014
    Assignee: Intermolecular, Inc.
    Inventors: Tony P Chiang, Richard R Endo, James Tsung
  • Patent number: 8815014
    Abstract: A method and system for plasma-assisted thin film vapor deposition on a substrate is described. The system includes a process chamber including a first process space having a first volume, a substrate stage coupled to the process chamber and configured to support a substrate and expose the substrate to the first process space, a plasma generation system coupled to the process chamber and configured to generate plasma in at least a portion of the first process space, and a vacuum pumping system coupled to the process chamber and configured to evacuate at least a portion of the first process space. The system further includes a process volume adjustment mechanism coupled to the process chamber and configured to create a second process space that includes at least a part of the first process space and that has a second volume less than the first volume, the substrate being exposed to the second process space.
    Type: Grant
    Filed: February 10, 2011
    Date of Patent: August 26, 2014
    Assignee: Tokyo Electron Limited
    Inventors: Jacques Faguet, Masahide Iwasaki, Toshihisa Nozawa
  • Publication number: 20140235007
    Abstract: A method of manufacturing a semiconductor light emitting device, includes sequentially growing a first conductivity-type semiconductor layer, an active layer, and a second conductivity-type semiconductor layer on a substrate to form a light emitting layer. The forming of the light emitting layer includes a first growth process, a second growth process and a transfer process. The first growth process uses a first susceptor having a mounting surface with a first curvature. The second growth process uses a second susceptor having a mounting surface with a second curvature different from the first curvature. The transfer process transfers the substrate from the first susceptor to the second susceptor between the first and second growth processes.
    Type: Application
    Filed: January 2, 2014
    Publication date: August 21, 2014
    Applicant: SAMSUNG ELECTRONICS CO., LTD.
    Inventors: Sang Heon HAN, Nam Sung KIM, Dong Joon KIM, Kong Tan SA, Tong Ik SHIN, Do Young RHEE, Jeong Wook LEE
  • Publication number: 20140230728
    Abstract: A vacuum processing apparatus includes a process chamber, a load lock chamber connected to the process chamber, and a transfer device configured to transfer a substrate from the load lock chamber to the process chamber. The transfer device is configured to move the substrate by gravity. The transfer device includes a guide configured to form a transfer path when the substrate moves by the gravity, and a stopper configured to limit movement of the substrate by the gravity when holding the substrate, and cancel the limitation when moving the substrate.
    Type: Application
    Filed: April 28, 2014
    Publication date: August 21, 2014
    Applicant: CANON ANELVA CORPORATION
    Inventors: Yuji Kajihara, Shogo Hiramatsu, Kazuto Yamanaka, Takashi Ueda, Kazutoshi Yoshibayashi, Kenji Sato, Hajime Sahase, Hirohisa Hirayanagi, Masahiro Atsumi
  • Patent number: 8808457
    Abstract: A tool for depositing multilayer coatings onto a substrate. The tool includes a housing defining a vacuum chamber connected to a vacuum source, deposition stations each configured to deposit a layer of multilayer coating on the substrate, a curing station, and a contamination reduction device. At least one of the deposition stations is configured to deposit an inorganic layer, while at least one other deposition station is configured to deposit an organic layer. In one tool configuration, the substrate may travel back and forth through the tool as many times as needed to achieve the desired number of layers of multilayer coating. In another, the tool may include numerous housings adjacently spaced such that the substrate may make a single unidirectional pass. The contamination reduction device may be configured as one or more migration control chambers about at least one of the deposition stations, and further includes cooling devices, such as chillers, to reduce the presence of vaporous layer precursors.
    Type: Grant
    Filed: April 11, 2003
    Date of Patent: August 19, 2014
    Assignee: Samsung Display Co., Ltd.
    Inventors: John Chris Pagano, Kenneth Jeffrey Nelson, Paul E. Burrows, Mark Edward Gross, Mac R. Zumhoff, Peter Maclyn Martin, Charles C. Bonham, Gordon Lee Graff, Lorenza Moro, Xi Chu
  • Patent number: 8807914
    Abstract: The present invention provides a seal device comprising a sealing passage which allows communication between a first space and a second space, and evacuation lines individually connected to the first space and the sealing passage. A gas feed line for feeding dry gas is connected to the sealing passage.
    Type: Grant
    Filed: October 7, 2008
    Date of Patent: August 19, 2014
    Assignee: Ebara Corporation
    Inventor: Hiroyuki Shinozaki
  • Publication number: 20140224175
    Abstract: A gas distribution manifold for a chemical vapor deposition reactor includes a first gas distribution zone including a central gas port located in a central portion of the manifold. The manifold also includes a second gas distribution zone including at least two intermediate ports adjacent the central gas port. The manifold further includes a third gas distribution zone including at least two outer ports, each one of the outer ports spaced from the central gas port by one of the intermediate ports. The gas distribution manifold includes a fourth gas distribution zone comprising at least two edge ports, each edge port being spaced from the central outlet port by at least one of the intermediate and outer ports.
    Type: Application
    Filed: February 14, 2013
    Publication date: August 14, 2014
    Applicant: MEMC ELECTRONIC MATERIALS, INC.
    Inventor: Arash Abedijaberi
  • Publication number: 20140227527
    Abstract: PVD and HPHT methods and apparatus for producing materials, for example nitrides, are disclosed.
    Type: Application
    Filed: September 27, 2012
    Publication date: August 14, 2014
    Applicant: Nitride Solutions Inc.
    Inventors: Daniel Brors, Richard Ernest De-Maray, David Slutz
  • Publication number: 20140227631
    Abstract: The present invention proposed manufacturing method of coating layers with good conductivity and corrosion resistance at high productivity comprising etching the oxide layer on the stainless steel substrate by plasma etching to activate the surface and prevent from decreasing it's conductivity, coating metal nitrides like CrN or TiN in nano size thickness on the etched surface and coating carbon layer at nano size thickness on top of it. According to the present invention, it is possible to produce manufacture fuel cell bipolar plate, electrode material and stainless steel with reinforced conductivity and corrosion resistance in mass.
    Type: Application
    Filed: February 9, 2013
    Publication date: August 14, 2014
    Inventors: Youngha Jun, Jaimoo Yoo, Kiho Yeo, Shin Eui Chul
  • Patent number: 8801895
    Abstract: A semiconductor manufacturing equipment includes a first chamber that has a first connection hole, a second chamber that has a second connection hole connected to the first connection hole of the first chamber, an O-ring that is provided between the first chamber and the second chamber so as to surround the first connection hole and the second connection hole, and a cover portion that covers a space between the first chamber and the second chamber.
    Type: Grant
    Filed: February 5, 2008
    Date of Patent: August 12, 2014
    Assignee: Spansion, LLC
    Inventor: Hirotaka Inomata
  • Patent number: 8802488
    Abstract: A substrate depositing system and a method of using a substrate depositing system. A substrate depositing system includes a load-lock chamber for loading and unloading a substrate, at least one transfer chamber connected to the load-lock chamber and including a substrate transfer device configured to vertically transfer the substrate, and a pair of depositing chambers connected to opposite sides of the at least one transfer chamber and including a depositing source and a pair of substrate fixing devices, the substrate transfer device including a pair of substrate installing members.
    Type: Grant
    Filed: July 8, 2011
    Date of Patent: August 12, 2014
    Assignee: Samsung Display Co., Ltd.
    Inventors: Jeong-Ho Yi, Suk-Won Jung, Seung-Ho Choi
  • Publication number: 20140220261
    Abstract: Microwave plasma assisted reactors, for example chemical vapor deposition (MPCVD) reactors, are disclosed. The disclosed reactors operate at high pressures (>180-320 Torr) and high power densities (>150 W/cm3), and thereby enable high deposition rate CVD processes that rapidly deposit materials. In particular, reactor design examples are described that, when operating in the 180-320 Torr pressure regime, rapidly CVD synthesize high quality polycrystalline (PCD) and single crystal diamond (SCD). The improved reactors include a radial contraction in the vicinity of the plasma chamber (and optionally a combined expansion in the vicinity of the electromagnetic wave source, followed by the contraction) in the main microwave chamber as electromagnetic energy propagates from an electromagnetic wave source to a plasma/deposition chamber.
    Type: Application
    Filed: May 11, 2012
    Publication date: August 7, 2014
    Applicant: BOARD OF TRUSTEES OF MICHIGAN STATE UNIVERSITY
    Inventors: Jes Asmussen, Yajun Gu, Timothy A. Grotjohn
  • Publication number: 20140220777
    Abstract: An interconnect conductive metal used in forming an interconnect structure can be formed using a method in which deposition of a metal liner and a reflow anneal are performed in a same multi-chambered processing system without exposing the structure to air between the steps of deposition and reflow annealing. In the disclosure, an interconnect dielectric material including an opening is placed within the multi-chambered processing system and then the interconnect dielectric material is transferred, under vacuum, to a deposition chamber in which the metal liner is deposited. The interconnect dielectric material including the metal liner is then transferred, under the same vacuum, to an annealing chamber in which a reflow anneal is performed.
    Type: Application
    Filed: February 5, 2013
    Publication date: August 7, 2014
    Applicant: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventor: INTERNATIONAL BUSINESS MACHINES CORPORATION
  • Publication number: 20140216342
    Abstract: An interconnect conductive metal used in forming an interconnect structure can be formed using a method in which deposition of a metal liner and a reflow anneal are performed in a same multi-chambered processing system without exposing the structure to air between the steps of deposition and reflow annealing. In the disclosure, an interconnect dielectric material including an opening is placed within the multi-chambered processing system and then the interconnect dielectric material is transferred, under vacuum, to a deposition chamber in which the metal liner is deposited. The interconnect dielectric material including the metal liner is then transferred, under the same vacuum, to an annealing chamber in which a reflow anneal is performed.
    Type: Application
    Filed: September 10, 2013
    Publication date: August 7, 2014
    Applicant: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Chih-Chao Yang, Stephan A. Cohen, Joseph F. Maniscalco
  • Patent number: 8794896
    Abstract: A vacuum processing apparatus includes a vacuum processing chamber; a load lock chamber connected to the vacuum processing chamber via a gate valve or via a gate valve and a depressurized space and also connected to an atmospheric space via a door valve, an interior atmosphere of the load lock chamber being changed between a substantially atmospheric state and a depressurized state; an air blowing portion, provided at a vicinity of the door valve in the atmospheric space, for blowing a zonal airflow vertically downward from a position substantially even with or higher than a top end of a passageway of the door valve; and an air suctioning portion for suctioning the airflow or the inert gas from the air blowing portion by a vacuum force at a position substantially even with or lower than a bottom end of the passageway of the door valve.
    Type: Grant
    Filed: August 31, 2006
    Date of Patent: August 5, 2014
    Assignee: Tokyo Electron Limited
    Inventor: Kengo Ashizawa
  • Publication number: 20140212735
    Abstract: A system and method of forming a thin film battery includes a substrate, a first current collector formed on the substrate, a cathode layer formed on a portion of the first current collector, a solid layer of electrolyte material formed on the cathode layer, a silicon-metal thin film anode layer formed on the solid layer of electrolyte material and a second current collector electrically coupled to the silicon-metal thin film anode layer. A method and a system for forming the thin film battery are also disclosed.
    Type: Application
    Filed: January 25, 2013
    Publication date: July 31, 2014
    Inventors: Wenming Li, Byunghoon Yoon, Ann Koo
  • Publication number: 20140209024
    Abstract: Provided is an equipment for manufacturing a semiconductor. The equipment for manufacturing a semiconductor includes a cleaning chamber in which a cleaning process is performed on substrates, an epitaxial chamber in which an epitaxial process for forming an epitaxial layer on each of the substrates is performed, a buffer chamber having a storage space for storing the substrates, and a transfer chamber to which the cleaning chamber, the buffer chamber, and the epitaxial chamber are connected to side surfaces thereof, the transfer chamber comprising a substrate handler for transferring the substrates between the cleaning chamber, the buffer chamber, and the epitaxial chamber. The substrate handler successively transfers the substrates, on which the cleaning process is completed, into the buffer chamber, transfers the substrates stacked within the buffer chamber the epitaxial chamber, and successively transfers the substrates, on which the epitaxial layers are respectively formed, into the buffer chamber.
    Type: Application
    Filed: July 31, 2012
    Publication date: July 31, 2014
    Applicant: EUGENE TECHNOLOGY CO., LTD.
    Inventors: Young Dae Kim, Jun Jin Hyon, Sang Ho Woo, Seung Woo Shin, Hai Won Kim
  • Publication number: 20140212577
    Abstract: A method of fabricating a magnetic recording medium by sequentially forming a magnetic recording layer, a protection layer, and a lubricant layer on a stacked body, includes forming the lubricant by depositing a first lubricant on the stacked body after forming the protection layer, by vapor-phase lubrication deposition, without exposing the stacked body to atmosphere, and depositing a second lubricant on the stacked body after depositing the first lubricant, by vapor-phase lubrication deposition, without exposing the stacked body to atmosphere.
    Type: Application
    Filed: January 7, 2014
    Publication date: July 31, 2014
    Applicant: SHOWA DENKO K.K.
    Inventors: Takehiko OKABE, Katsuaki TO