Multizone Chamber Patents (Class 118/719)
  • Publication number: 20140213046
    Abstract: A method that includes implantation of dopants while a III-nitride body is being grown on a substrate, and an apparatus for the practice of the method.
    Type: Application
    Filed: March 28, 2014
    Publication date: July 31, 2014
    Applicant: International Rectifier Corporation
    Inventor: Michael A. Briere
  • Publication number: 20140205769
    Abstract: Embodiments relate to a plasma reactor including two or more sub-plasma reactors connected in series to generate an increased amount or increase the reactivity of radicals and reactive species. The two sub-plasma reactors may be of the same type or a different type. The plasma reactor including two or more sub-plasma reactors connected in series is advantageous, among other reasons, because smaller space is used compared to having multiple plasma reactors placed on tandem.
    Type: Application
    Filed: January 14, 2014
    Publication date: July 24, 2014
    Applicant: Veeco ALD Inc.
    Inventor: Sang In Lee
  • Publication number: 20140199476
    Abstract: A method of fabricating a magnetic recording medium sequentially forms a magnetic recording layer, a protection layer, and a lubricant layer on a stacked body. The stacked body is enclosed in a transfer container unit without exposing the stacked body to atmosphere after forming the protection layer on the stacked body by a deposition apparatus, and the transfer container unit is transported to a vapor-phase lubrication deposition apparatus. The stacked body is removed from the transfer container unit without exposing the stacked body to the atmosphere, in order to form the lubricant layer on the stacked body within the vapor-phase lubrication deposition apparatus.
    Type: Application
    Filed: January 8, 2014
    Publication date: July 17, 2014
    Applicant: SHOWA DENKO K,K.
    Inventors: Yasuyuki TSUTATANI, Daisuke SHIOMI
  • Patent number: 8776717
    Abstract: The present invention provides methods and systems for discretized, combinatorial processing of regions of a substrate such as for the discovery, implementation, optimization, and qualification of new materials, processes, and process sequence integration schemes used in integrated circuit fabrication. A substrate having an array of differentially processed regions thereon is processed by delivering materials to or modifying regions of the substrate.
    Type: Grant
    Filed: February 10, 2006
    Date of Patent: July 15, 2014
    Assignee: Intermolecular, Inc.
    Inventors: Tony P. Chiang, David E. Lazovsky, Thomas R. Boussie, Thomas H. McWaid, Alexander Gorer
  • Patent number: 8778295
    Abstract: Disclosed is a combinatorial synthesis of Diamond wherein a first reactive species is produced by catalytic treatment of Acetylene, a second reactive species is produced by decomposition of a hydrocarbon source having a low Hydrogen-to-Carbon ratio using a high energy discharge, and the two reactive species so obtained are combined in the vapor phase to yield Diamond without the need of post-treatments. The reaction is efficient and affords Diamond under mild conditions with high purity such that it may be useful for producing Diamond for semiconductor and microelectronics applications.
    Type: Grant
    Filed: August 5, 2011
    Date of Patent: July 15, 2014
    Inventor: Daniel Hodes
  • Publication number: 20140190410
    Abstract: Provided is an equipment for manufacturing a semiconductor. The equipment for manufacturing a semiconductor includes a cleaning chamber in which a cleaning process is performed on substrates, an epitaxial chamber in which an epitaxial process for forming an epitaxial layer on each of the substrates is performed, and a transfer chamber to which the cleaning chamber and the epitaxial chamber are connected to sides surfaces thereof, the transfer chamber including a substrate handler for transferring the substrates, on which the cleaning process is completed, into the epitaxial chamber.
    Type: Application
    Filed: July 31, 2012
    Publication date: July 10, 2014
    Applicant: EUGENE TECHNOLOGY CO., LTD.
    Inventors: Young Dae Kim, Jun Jin Hyon, Sang Ho Woo, Seung Woo Shin, Hai Won Kim
  • Patent number: 8770143
    Abstract: The various embodiments of the invention provide for relative movement of the substrate and a process head to access the entire wafer in a minimal space to conduct combinatorial processing on various regions of the substrate. The heads enable site isolated processing within the chamber described and method of using the same are described.
    Type: Grant
    Filed: May 12, 2011
    Date of Patent: July 8, 2014
    Assignee: Intermolecular, Inc.
    Inventors: Rick Endo, Kurt Weiner, Indranil De, James Tsung, Maosheng Zhao
  • Patent number: 8771422
    Abstract: The object of the present invention is to improve the productivity of a permanent magnet and to manufacture it at a low cost by effectively coating Dy and Tb on a surface of the magnet of Fe—B-rare earth elements having a predetermined configuration. The permanent magnet of the present invention is manufactured by a coating step for coating Dy on the surface of the magnet of Fe—B-rare earth elements having a predetermined configuration and a diffusing step for diffusing Dy coated on the surface of the magnet into crystal grain boundary phases of the magnet with being heat treated at a predetermined temperature.
    Type: Grant
    Filed: June 20, 2011
    Date of Patent: July 8, 2014
    Assignee: Ulvac, Inc.
    Inventors: Hiroshi Nagata, Yoshinori Shingaki
  • Patent number: 8771416
    Abstract: A substrate processing apparatus comprises: a reaction chamber to process a substrate; a heating target object disposed in the reaction chamber to surround at least a region where the substrate is disposed, the heating target object having a cylindrical shape with a closed end; an insulator disposed between the reaction chamber and the heating target object to surround the heating target object, the insulator having a cylindrical shape with a closed end facing the closed end of the heating target object; an induction heating unit disposed outside the reaction chamber to surround at least the region where the substrate is disposed; a first gas supply system to supply at least a source gas into the reaction chamber; and a controller to control the first gas supply system so that the first gas supply system supplies at least the source gas into the reaction chamber for processing the substrate.
    Type: Grant
    Filed: June 24, 2010
    Date of Patent: July 8, 2014
    Assignee: Hitachi Kokusai Electric Inc.
    Inventors: Shuhei Saido, Takatomo Yamaguchi, Kenji Shirako
  • Patent number: 8771421
    Abstract: An apparatus is provided for vapor deposition of a sublimated source material as a thin film on discrete photovoltaic (PV) module substrates conveyed in a continuous non-stop manner through said apparatus. The apparatus includes a vapor deposition head configured for receipt and sublimation of a source material, and for distributing the sublimated source material onto an upper surface of substrates conveyed through a deposition area. A roll seal configuration is provided at each of an entry slot and an exit slot for the substrates conveyed through the apparatus. The roll seal configuration further includes a cylinder rotatably supported at a defined gap height above a conveyance plane of the substrates such that the cylinder is not in continuous rolling contact with the substrates within the window of finished active semiconductor material.
    Type: Grant
    Filed: December 23, 2010
    Date of Patent: July 8, 2014
    Assignee: First Solar, Inc.
    Inventor: Christopher Rathweg
  • Publication number: 20140183743
    Abstract: A manganese metal film forming method includes: degassing an underlying layer formed on a processing target by thermally treating the processing target, the underlying layer containing silicon and oxygen; and forming a manganese metal film on the degassed underlying layer by chemical deposition using a gas containing a manganese compound. Forming a manganese metal film includes introducing a gas containing an oxidizing agent to form a partially-oxidized manganese metal film.
    Type: Application
    Filed: December 26, 2013
    Publication date: July 3, 2014
    Applicant: TOKYO ELECTRON LIMITED
    Inventors: Kenji MATSUMOTO, Peng CHANG
  • Patent number: 8764903
    Abstract: The present invention in one preferred embodiment discloses a new design of HVPE reactor, which can grow gallium nitride for more than one day without interruption. To avoid clogging in the exhaust system, a second reactor chamber is added after a main reactor where GaN is produced. The second reactor chamber may be configured to enhance ammonium chloride formation, and the powder may be collected efficiently in it. To avoid ammonium chloride formation in the main reactor, the connection between the main reactor and the second reaction chamber can be maintained at elevated temperature. In addition, the second reactor chamber may have two or more exhaust lines. If one exhaust line becomes clogged with powder, the valve for an alternative exhaust line may open and the valve for the clogged line may be closed to avoid overpressuring the system. The quartz-made main reactor may have e.g. a pyrolytic boron nitride liner to collect polycrystalline gallium nitride efficiently.
    Type: Grant
    Filed: May 5, 2010
    Date of Patent: July 1, 2014
    Assignee: Sixpoint Materials, Inc.
    Inventors: Tadao Hashimoto, Edward Letts
  • Publication number: 20140174354
    Abstract: A single- and dual-chamber module-attachable wafer-handling chamber includes: a wafer-handling main chamber equipped with a wafer-handling robot therein, and adaptors for connecting process modules to the wafer-handling main chamber. The adaptors are detachably attached to the sides of the wafer-handling main chamber, respectively, and the process modules are detachably attached to the adaptors, respectively, so that the process modules can be attached to the wafer-handling main chamber, regardless of whether the process modules are of a single-chamber type or dual-chamber type.
    Type: Application
    Filed: December 26, 2012
    Publication date: June 26, 2014
    Applicant: ASM IP HOLDING B.V.
    Inventor: Izumi Arai
  • Patent number: 8758514
    Abstract: A cluster type semiconductor processing apparatus includes a wafer handling chamber having a polygonal base including multiple sides for wafer processing chambers and two adjacent sides for wafer loading/unloading chambers as viewed in a direction of an axis of the wafer handling chamber. An angle A between two adjacent sides of the multiple sides for wafer processing chambers is greater than an angle B which is calculated by dividing 360° by the number of the total sides consisting of the multiple sides for wafer processing chambers and the two adjacent sides for wafer loading/unloading chambers.
    Type: Grant
    Filed: March 2, 2007
    Date of Patent: June 24, 2014
    Assignee: ASM Japan K.K.
    Inventors: Masahiro Takizawa, Masaei Suwada, Takashi Hagino
  • Patent number: 8758513
    Abstract: A processing apparatus includes a loading chamber; a buffer chamber connected to the loading chamber; a first process chamber connected to the buffer chamber; and an unloading chamber connected to the first process chamber, wherein a processing path through the processing apparatus is a forward in-line path in a direction through the loading chamber, the buffer chamber, the first process chamber, and the unloading chamber.
    Type: Grant
    Filed: December 15, 2006
    Date of Patent: June 24, 2014
    Assignees: LG Display Co., Ltd., Avaco Co., Ltd., LG Electronics Inc.
    Inventors: Jin Seok Lee, Hyuk Sang Yoon, Hwan Kyu Yoo, Byeong Eok Hwang
  • Patent number: 8758516
    Abstract: Disclosed herein is a flat panel display (FPD) manufacturing apparatus for performing a desired process for a substrate positioned in a chamber after establishing a vacuum atmosphere in the chamber. The vacuum chamber is divided into a chamber body and an upper cover to ensure easy opening/closing operations of the upper cover.
    Type: Grant
    Filed: November 26, 2008
    Date of Patent: June 24, 2014
    Assignee: Advanced Display Process Engineering Co., Ltd.
    Inventors: Young Jong Lee, Jun Young Choi, Saeng Hyun Jo, Hyun Hwan Ahn, Suk-Min Son, Sung Il Ahn
  • Publication number: 20140170785
    Abstract: A system and method for encapsulating an organic light-emitting diode (OLED) device by enabling a substrate and a plurality of masks to be efficiently received into a vacuum processing environment, transferred between one or more process chambers for the deposition of encapsulating layers, and removed from the processing system. A method of encapsulating an organic light-emitting diode (OLED) device includes positioning one or more masks over a substrate to deposit encapsulating layers on an OLED device disposed on the substrate. A processing system for encapsulating an organic light-emitting diode (OLED) device includes one or more transfer chambers, one or more load lock chambers coupled to each transfer chamber and operable to receive a mask into a vacuum environment, and one or more process chambers coupled to each transfer chamber and operable to deposit an encapsulating layer on a substrate.
    Type: Application
    Filed: June 18, 2012
    Publication date: June 19, 2014
    Applicant: Applied Materials, Inc.
    Inventors: Shinichi Kurita, Beom Soo Kim
  • Publication number: 20140170804
    Abstract: A method and system provide for depositing a TCO, transparent conductive oxide, film in one chamber of a manufacturing tool then irradiating the TCO film with light energy in another chamber of the same tool. The TCO film is used in a solar cell and formed on a solar cell substrate in some embodiments. The method includes irradiating the TCO film for a time and energy to reduce resistance of the TCO film without reducing transmittance. One or multiple light sources are used in the light irradiation chamber. Light in the infrared range, visible light range and ultraviolet light range are used either individually or in combination.
    Type: Application
    Filed: December 14, 2012
    Publication date: June 19, 2014
    Applicant: TSMC SOLAR LTD.
    Inventors: Yung-Sheng CHIU, Chun-Heng CHEN, Tzu-Huan CHENG, Chien-Yao HUANG
  • Publication number: 20140170805
    Abstract: A machine includes a thermal ramp chamber; a thermal soak chamber coupled to the thermal ramp chamber; and a cooling chamber coupled to the thermal soak chamber. The cooling chamber can be an indirect cooling chamber including a thermal buffer that includes a substrate carrier. Each of the chambers can include an independently controlled elemental reactant source containing and supplying vapor having both i) independent control of a total vapor pressure of an elemental reactant containing vapor and ii) independent control of a partial vapor pressure of an elemental reactant vapor within that chamber.
    Type: Application
    Filed: June 17, 2013
    Publication date: June 19, 2014
    Inventors: Michael Floyd Miller, Baosheng Sang, Dingyuan Lu, Billy J. Stanbery
  • Publication number: 20140165910
    Abstract: Disclosed is an apparatus for batch-type large-area atomic layer deposition, which can perform an atomic layer deposition process on a plurality of large-area glass substrates. The apparatus comprises: a vacuum chamber; gate valves provided at both sides of the vacuum chamber; a process gas supply unit provided in the upper portion of the vacuum chamber and configured to inject laminar-flow process gas downward; a gas discharge unit provided in the lower portion of the vacuum chamber and configured to discharge gas from the vacuum chamber; a cassette configured to load a plurality of substrates and disposed between the process gas supply unit and the gas discharge unit; and an elevating unit provided at the side of the gas discharge unit in the vacuum chamber and configured in the vacuum chamber to elevate the cassette so as to bring the cassette into close contact with the process gas supply unit.
    Type: Application
    Filed: January 24, 2014
    Publication date: June 19, 2014
    Applicant: NCD CO., LTD.
    Inventors: Woong Chul SHIN, Kyu-Jeong CHOI, Min BAEK, Nak-Jin SEONG
  • Patent number: 8747558
    Abstract: The purpose of the invention is increasing the efficiency of utilizing an EL material and providing a deposition method and a vapor deposition apparatus which is one of the film formation systems which are excellent in throughput and uniformity in film thickness in forming an EL layer. According to the invention, evaporation is performed by moving or reciprocating an evaporation source holder in which a plurality of containers (crucible) each encapsulating an evaporation material are set only in an X direction while moving a substrate at regular intervals. Further, in the plurality of evaporation source holders, film thickness meters of adjacent evaporation sources are disposed alternately so as to sandwich the movement pathway of the substrate.
    Type: Grant
    Filed: March 21, 2007
    Date of Patent: June 10, 2014
    Assignee: Semiconductor Energy Laboratory Co., Ltd.
    Inventors: Junichiro Sakata, Shunpei Yamazaki
  • Patent number: 8746170
    Abstract: A vacuum chamber is evacuated through a first evacuation passage provided with a first valve and a second evacuation passage provided with a second valve. An opening degree of the first valve is adjusted so that a pressure in the vacuum chamber becomes substantially equal to a process pressure P; an opening degree of a butterfly valve further provided in the second evacuation passage is adjusted to substantially equal to a set value determined by a table in order to set flow rates of gases to be evacuated through the first evacuation passage and the second evacuation passage to be substantially equal to corresponding set values determined by the recipe; and an opening degree of the second valve is adjusted so that a measurement value of a differential pressure gauge further provided in the second evacuation passage becomes substantially equal to a differential pressure written in the table.
    Type: Grant
    Filed: November 1, 2010
    Date of Patent: June 10, 2014
    Assignee: Tokyo Electron Limited
    Inventors: Kohichi Orito, Manabu Honma, Tatsuya Tamura
  • Patent number: 8747627
    Abstract: The invention relates to a method and to a device for reversing the feeding of a sputter coating system, particularly when coating a photovoltaic module, in clean rooms, having the following characteristics: a) a transport frame (11) for receiving a substrate wafer (19) of a photovoltaic module, b) a rotary device having means for mounting the transport frame (11), having means for rotating the transport frame (11), and having means for transporting the transport frame (11), c) means for precisely aligning the rotary device relative to the sputter coating system, d) a detection device (18) for checking a sputter process, and computer program having a program code for performing the process steps.
    Type: Grant
    Filed: November 26, 2009
    Date of Patent: June 10, 2014
    Assignee: Grenzebach Maschinenbau GmbH
    Inventor: Roland Franz
  • Publication number: 20140154414
    Abstract: An atomic layer deposition apparatus includes a chamber including a plurality of regions; and a heating device respectively providing specific temperature ranges for the plurality of regions.
    Type: Application
    Filed: December 3, 2012
    Publication date: June 5, 2014
    Applicant: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Chia-Yi CHUANG, Hsing-Jui Lee, Ming-Te Chen
  • Patent number: 8741096
    Abstract: An apparatus for semiconductor processing capable of performing semiconductor processing such as etching, depositing, etc. on a surface of a substrate such as a wafer. The apparatus for semiconductor processing, comprises: a reaction chamber having a gate through which a substrate to be processed is transferred; one or more shower heads disposed at an upper side of the reaction chamber, for spraying gas so as to perform semiconductor processing; one or more wafer supporting units disposed at an inner lower side of the reaction chamber in correspondence to each of the shower heads, for supporting the substrate; a processing space forming unit disposed in the reaction chamber, for forming a processing space for semiconductor processing by sealing the shower heads and the wafer supporting units; and an exhausting system connected to the processing space forming unit for controlling a pressure and air exhaustion inside the reaction chamber and the processing space formed by the processing space forming unit.
    Type: Grant
    Filed: June 28, 2007
    Date of Patent: June 3, 2014
    Assignee: Wonik IPS Co., Ltd.
    Inventors: Sang-Jun Park, Ho-Young Lee, Chun-Woo Lee
  • Patent number: 8742532
    Abstract: Silicon wafer processing system, apparatus and method of doping silicon wafers with hot concentrated acid dopant compositions for forming p-n junction and back contact layers during processing into PV solar cells. Highly concentrated acid dopant is atomized with pressurized gas and heated in the range of 80-200° C., then introduced into a concentrated acid vapor processing chamber to apply vapor over 1.5-6 min to wafers moving horizontally on a multi-lane conveyor system through the processing chamber. The wafers are dried and forwarded to a diffusion furnace. An optional UV pre-treatment assembly pre-conditions the wafers with UV radiation prior to dopant application, and doped wafers may be post-treated in a UV treatment module before being fired. The wafers may be cooled in the processing chamber. Post-firing, the wafers exhibit excellent sheet resistance in the 60-95?/sq range, and are highly uniform across the wafers and wafer-to-wafer.
    Type: Grant
    Filed: September 12, 2011
    Date of Patent: June 3, 2014
    Assignee: TP Solar, Inc.
    Inventors: Luis Alejandro Rey Garcia, Peter G. Ragay, Richard W. Parks
  • Patent number: 8741062
    Abstract: An apparatus, such as an ALD (Atomic Layer Deposition) apparatus, including a precursor source configured for depositing material on a heated substrate in a deposition reactor by sequential self-saturating surface reactions. The apparatus includes an in-feed line for feeding precursor vapor from the precursor source to a reaction chamber and a structure configured for utilizing heat from a reaction chamber heater for preventing condensation of precursor vapor into liquid or solid phase between the precursor source and the reaction chamber. Also various other apparatus and methods are presented.
    Type: Grant
    Filed: April 22, 2008
    Date of Patent: June 3, 2014
    Assignee: Picosun Oy
    Inventors: Sven Lindfors, Pekka J. Soininen
  • Publication number: 20140147700
    Abstract: A method and apparatus for forming magnetic media substrates is provided. A patterned resist layer is formed on a substrate having a magnetically susceptible layer. A conformal protective layer is formed over the patterned resist layer to prevent degradation of the pattern during subsequent processing. The substrate is subjected to an energy treatment wherein energetic species penetrate portions of the patterned resist and conformal protective layer according to the pattern formed in the patterned resist, impacting the magnetically susceptible layer and modifying a magnetic property thereof. The patterned resist and conformal protective layers are then removed, leaving a magnetic substrate having a pattern of magnetic properties with a topography that is substantially unchanged.
    Type: Application
    Filed: January 31, 2014
    Publication date: May 29, 2014
    Applicant: Applied Materials, Inc.
    Inventors: Christopher Dennis BENCHER, Roman GOUK, Steven VERHAVERBEKE, Li-Qun XIA, Yong-Won LEE, Matthew D. SCOTNEY-CASTLE, Martin A. HILKENE, Peter I. PORSHNEV
  • Patent number: 8731701
    Abstract: After a cassette is mounted on a cassette mounting part, a control unit instructs a substrate treatment apparatus to start treatment on substrates in the cassette. Thereafter, the control unit indicates, to the substrate treatment apparatus, a cassette on the cassette mounting part to which a substrate is transferred at completion of the treatment. If the transfer destination cassette for the substrate at the completion of treatment has not been indicated when a number of remaining treatment steps for the substrate reaches a predetermined set number, an alarm is given from the substrate treatment apparatus. This alarm is sent from the substrate treatment apparatus to the control unit, and the control unit indicates a transfer destination cassette for the substrate.
    Type: Grant
    Filed: August 21, 2008
    Date of Patent: May 20, 2014
    Assignee: Tokyo Electron Limited
    Inventors: Wataru Tsukinoki, Yuichi Yamamoto
  • Patent number: 8728241
    Abstract: An apparatus for combinatorial site-isolated thin film deposition may include a source of a liquid precursor, a nebulizer configured to convert the liquid precursor to an aerosolized mist of particles, a first deposition cell configured to direct an aerosolized mist of particles onto a first selected region of the substrate, and a second deposition cell configured to direct an aerosolized mist of particles onto a second selected region of the substrate. A method for combinatorial site-isolated thin film deposition may include providing a liquid precursor, converting the liquid precursor to an aerosolized mist of particles, transporting the aerosolized mist of particles to a first deposition cell and a second deposition cell in proximity to a surface of a substrate, and depositing the transported aerosolized mist of particles onto a first selected region and a second selected region of the surface of the substrate.
    Type: Grant
    Filed: December 8, 2010
    Date of Patent: May 20, 2014
    Assignee: Intermolecular, Inc.
    Inventor: Rajesh Kelekar
  • Publication number: 20140130739
    Abstract: A method and apparatus for atomic layer deposition (ALD) is described. In one embodiment, an apparatus comprises a vacuum chamber body having a contiguous internal volume comprised of a first deposition region spaced-apart from a second deposition region, the chamber body having a feature operable to minimize intermixing of gases between the first and the second deposition regions, a first gas port formed in the chamber body and positioned to pulse gas preferentially to the first deposition region to enable a first deposition process to be performed in the first deposition region, and a second gas port formed in the chamber body and positioned to pulse gas preferentially to the second deposition region to enable a second deposition process to be performed in the second deposition region is provided.
    Type: Application
    Filed: January 7, 2014
    Publication date: May 15, 2014
    Inventors: Barry L. CHIN, Alfred W. MAK, Lawrence C. LEI, Ming XI, Hua CHUNG, Ken Kaung LAI, Jeong Soo BYUN
  • Patent number: 8721833
    Abstract: A replaceable chamber element for use in a plasma processing system, such as a plasma etching system, is described. The replaceable chamber element includes a chamber component configured to be exposed to plasma in a plasma processing system, wherein the chamber component is fabricated of a ferroelectric material.
    Type: Grant
    Filed: February 5, 2012
    Date of Patent: May 13, 2014
    Assignee: Tokyo Electron Limited
    Inventors: Zhiying Chen, Jianping Zhao, Lee Chen, Merritt Funk, Radha Sundararajan
  • Patent number: 8721790
    Abstract: A film deposition apparatus includes a turntable provided in the chamber and having on a first surface a substrate receiving area in which a substrate is placed; first and second reaction gas supplying portions supplying first and second reaction gases to the first surface, respectively; a separation gas supplying portion provided between the first reaction gas supplying portion and the second reaction gas supplying portion and supplying a separation gas that separates the first reaction gas and the second reaction gas; an evacuation port that evacuates the chamber; a space defining member provided for at least one of the first and second reaction gas supplying portions and defining a first space between the at least one of the first and second reaction gas supplying portions and the turntable and a second space so that the separation gas is likely to flow through the second space rather than the first space.
    Type: Grant
    Filed: December 9, 2010
    Date of Patent: May 13, 2014
    Assignee: Tokyo Electron Limited
    Inventors: Hitoshi Kato, Manabu Honma, Kohichi Orito, Yasushi Takeuchi, Hiroyuki Kikuchi
  • Publication number: 20140124788
    Abstract: Chemical vapor deposition (CVD) systems for forming layers on a substrate are disclosed. Embodiments of the system comprise at least two processing chambers that may be linked in a cluster tool. A first processing chamber provides a chamber having a controlled environmental temperature and pressure and containing a first environment for performing CVD on a substrate, and a second environment for contacting the substrate with a plasma; a substrate transport system capable of positioning a substrate for sequential processing in each environment, and a gas control system capable of maintaining isolation. A second processing chamber provides a CVD system. Methods of forming layers on a substrate comprise forming one or more layers in each processing chamber. The systems and methods are suitable for preparing Group III-V, Group II-VI or Group IV thin film devices.
    Type: Application
    Filed: November 6, 2012
    Publication date: May 8, 2014
    Applicant: INTERMOLECULAR, INC.
    Inventors: Philip Kraus, Boris Borisov, Thai Cheng Chua, Sandeep Nijhawan
  • Patent number: 8717522
    Abstract: An apparatus for forming an alignment film and a method for fabricating a liquid crystal display panel using the same are disclosed. A steam inspecting unit for inspecting a defective alignment film is disposed for two lines of rubbing equipment, so that a facility investment expense can be reduced and a space of a clean room can be effectively utilized. The apparatus for forming an alignment film comprises a rubbing equipment comprising at least one rubbing unit; and an alignment film inspecting unit installed between the two lines of rubbing equipment and inspecting an alignment film formed on a substrate.
    Type: Grant
    Filed: December 27, 2005
    Date of Patent: May 6, 2014
    Assignee: LG Display Co., Ltd.
    Inventor: Byoung Chul Choi
  • Patent number: 8715417
    Abstract: The invention provides a multi-film forming apparatus including a substrate holder stock chamber for storing a plurality of substrate holders separately from a path in the multi-film forming apparatus, so that production can be performed without being affected by the process of removing a film accumulated on the surface of the substrate holder and the process of replacing the substrate holder, or by the process of removing a film accumulated on the surface of the substrate holder or the process of replacing the substrate holder, and hence high-throughput production is possible. A branch path is provided on the path of the multi-film forming apparatus, and a substrate holder stock chamber for storing a plurality of substrate holders which enables retrieval of the substrate holder from the path and feeding of the substrate holder to the path is provided.
    Type: Grant
    Filed: January 18, 2013
    Date of Patent: May 6, 2014
    Assignee: Canon Anelva Corporation
    Inventors: Shinji Furukawa, Masahiro Shibamoto
  • Patent number: 8715418
    Abstract: A semiconductor processing system and related methodology is disclosed and which includes a processing chamber having an internal cavity and a transfer port; a transfer chamber which is positioned adjacent to the processing chamber; and a transfer apparatus having at least two extendible arms which are positioned within the transfer chamber, and wherein each of the extendible arms carry a semiconductor work piece into and out of the processing chamber by way of the transfer port, and wherein the at least two extendible arms are selectively vertically moveable, and further are each selectively moveable in the direction of the transfer port.
    Type: Grant
    Filed: May 24, 2006
    Date of Patent: May 6, 2014
    Assignee: Advanced Micro-Fabrication Equipment, Inc. Asia
    Inventor: AiHua Chen
  • Publication number: 20140113436
    Abstract: A disclosed method of depositing a silicon film on a substrate mounted on a turntable and can pass by rotation through a first process area and a second process area, which are separately arranged along a peripheral direction in a cylindrical chamber set to have a first temperature capable of cutting a Si—H bond includes a molecular layer deposition step of supplying a Si2H6 gas set to have a second temperature less than the first temperature when the substrate passes through the first process area thereby forming a SiH3 molecular layer on a surface of the substrate, and a hydrogen desorption step of causing the substrate, on a surface of which the SiH3 molecular layer is formed, to pass through the second process area maintained to have the first temperature thereby cutting the Si—H bond and leaving only a silicon atomic layer on the surface of the substrate.
    Type: Application
    Filed: October 16, 2013
    Publication date: April 24, 2014
    Applicant: TOKYO ELECTRON LIMITED
    Inventors: Hitoshi KATO, KEIICHI TANAKA, HIROYUKI KIKUCHI
  • Publication number: 20140113395
    Abstract: A vapor deposition apparatus for depositing a thin film on a substrate includes a cover having an accommodation portion and a communicated portion, which communicated portion is connected to the accommodation portion and faces a direction of the substrate, and includes a body in the accommodation portion, which body includes a first portion and a second portion. The first portion is disposed at a first location of the body and connected to a first injection portion for injecting a first material onto the substrate, the second portion is disposed at a second location of the body and connected to a second injection portion for injecting a second material onto the substrate, and the body rotates in at least one direction so that the first portion and the second portion are alternately connected to each other with respect to the communicated portion.
    Type: Application
    Filed: March 12, 2013
    Publication date: April 24, 2014
    Inventors: In-Kyo KIM, Myung-Soo HUH, Suk-Won JUNG, Cheol-Min JANG, Jae-Hyun KIM, Jin-Kwang KIM, Chang-Woo SHIM, Sung-Hun KEY
  • Publication number: 20140102366
    Abstract: The present invention provides a vapor deposition method and a vapor deposition system of film formation systems by which EL materials can be used more efficiently and EL materials having superior uniformity with high throughput rate are formed. According to the present invention, inside a film formation chamber, an evaporation source holder in a rectangular shape in which a plurality of containers sealing evaporation material is moved at a certain pitch to a substrate and the evaporation material is vapor deposited on the substrate. Further, a longitudinal direction of an evaporation source holder in a rectangular shape may be oblique to one side of a substrate, while the evaporation source holder is being moved. Furthermore, it is preferable that a movement direction of an evaporation source holder during vapor deposition be different from a scanning direction of a laser beam while a TPT is formed.
    Type: Application
    Filed: December 16, 2013
    Publication date: April 17, 2014
    Applicant: Semiconductor Energy Laboratory Co., Ltd.
    Inventors: Shunpei Yamazaki, Hideaki Kuwabara, Masakazu Murakami
  • Publication number: 20140106478
    Abstract: There is provided a slit valve unit including: a body disposed on an outer side of a process chamber and having an entrance connected to an opening of the process chamber; a slit valve provided in an internal space of the body and selectively opening and closing the entrance; a plurality of packing members provided along the circumference of the entrance on an inner side of the body and tightly attached to the slit valve when the slit valve shields the entrance; and a connection pipe having one end exposed between the plurality of packing members on the inner side of the body so as to be connected to an airtight space formed among the plurality of packing members, the body, and the slit valve, and the other end exposed to the outer side of the body, the connection pipe penetrating the body.
    Type: Application
    Filed: March 15, 2013
    Publication date: April 17, 2014
    Applicant: SAMSUNG ELECTRONICS CO., LTD.
    Inventor: SAMSUNG ELECTRONICS CO., LTD.
  • Publication number: 20140099437
    Abstract: A method of fabricating a magnetic recording medium sequentially forms a magnetic recording layer, a protection layer, and a lubricant layer on a stacked body. The stacked body is enclosed in a transfer container unit without exposing the stacked body to atmosphere after forming the protection layer on the stacked body by a deposition apparatus, and the transfer container unit is transported to a vapor-phase lubrication deposition apparatus. The stacked body is removed from the transfer container unit without exposing the stacked body to the atmosphere, in order to form the lubricant layer on the stacked body within the vapor-phase lubrication deposition apparatus.
    Type: Application
    Filed: September 19, 2013
    Publication date: April 10, 2014
    Applicant: SHOWA DENKO K.K.
    Inventors: Yasuyuki TSUTATANI, Daisuke SHIOMI, Satoru UENO
  • Publication number: 20140099778
    Abstract: In some embodiments, an indexed inline substrate processing tool may include a substrate carrier having a base and pair of opposing substrate supports having respective substrate support surfaces that extend upwardly and outwardly from the base; and a plurality of modules coupled to one another in a linear arrangement, wherein each module of the plurality of modules comprises an enclosure having a first end, a second end, and a lower surface to support the substrate carrier and to provide a path for the substrate carrier to move linearly through the plurality of modules, and wherein at least one module of the plurality of modules comprises: a window disposed in a side of the enclosure; a heating lamp coupled to the side of the enclosure; a gas inlet disposed proximate a top of the enclosure; and an exhaust disposed opposite the gas inlet.
    Type: Application
    Filed: September 24, 2013
    Publication date: April 10, 2014
    Applicant: APPLIED MATERIALS, INC.
    Inventor: DAVID K. CARLSON
  • Publication number: 20140090596
    Abstract: In embodiments of the current invention, methods of combinatorial processing and a test chip for use in these methods are described. These methods and test chips enable the efficient development of materials, processes, and process sequence integration schemes for semiconductor manufacturing processes. In general, the methods simplify the processing sequence of forming devices or partially formed devices on a test chip such that the devices can be tested immediately after formation. The immediate testing allows for the high throughput testing of varied materials, processes, or process sequences on the test chip. The test chip has multiple site isolated regions where each of the regions is varied from one another and the test chip is designed to enable high throughput testing of the different regions.
    Type: Application
    Filed: December 4, 2013
    Publication date: April 3, 2014
    Applicant: Intermolecular, Inc.
    Inventors: Gaurav Verma, Tony P. Chiang, Imran Hashim, Sandra G. Malhotra, Prashant B. Phatak, Kurt H. Weiner
  • Publication number: 20140087567
    Abstract: Provided is a substrate processing apparatus including: a substrate mounting portion provided in a process chamber and capable of mounting a plurality of substrates in a circumferential direction; a rotating mechanism that rotates the substrate mounting portion at a predetermined angular velocity; dividing structures provided in a radial form from a center of a lid of the process chamber so as to divide the process chamber into a plurality of areas; and gas supply areas disposed between the adjacent dividing structures, wherein an angle between the adjacent dividing structures with one gas supply area interposed is set to an angle corresponding to the angular velocity and a period in which a portion of the substrate mounting portion passes through the gas supply area.
    Type: Application
    Filed: September 27, 2013
    Publication date: March 27, 2014
    Applicant: Hitachi Kokusai Electric Inc.
    Inventors: Kazuyuki TOYODA, Osamu KASAHARA, Tetsuaki INADA, Junichi TANABE, Tatsushi UEDA
  • Publication number: 20140087073
    Abstract: In various exemplary embodiments, a system and related method for processing substrates is provided. In one embodiment, a substrate processing system is provided that includes a substrate load module, a plurality of facilities modules, a plurality of process chambers, a substrate transfer module, at least one transfer gate to provide a contamination barrier between various ones of adjacent modules, and at least one gas impermeable shell to provide a controlled atmosphere within the substrate processing system.
    Type: Application
    Filed: September 24, 2013
    Publication date: March 27, 2014
    Inventors: Igor Constantin Ivanov, Robin Cheung
  • Publication number: 20140084262
    Abstract: An organic layer deposition apparatus, a method of manufacturing the same, and an organic light-emitting display apparatus using the same, and an organic light-emitting display apparatus manufactured using the method, are disclosed. An organic layer deposition apparatus is suitable for mass production of organic light-emitting display apparatuses on large-size substrates, and enables high-precision patterning. A method of manufacturing an organic light-emitting display apparatus by using the organic layer deposition apparatus, and an organic light-emitting display apparatus manufactured using the method, are disclosed.
    Type: Application
    Filed: March 11, 2013
    Publication date: March 27, 2014
    Applicant: SAMSUNG DISPLAY CO., LTD.
    Inventor: Hak-Min Kim
  • Publication number: 20140086720
    Abstract: A semiconductor processing station is provided. The semiconductor processing station includes a first platform, a second platform and a vacuum tunnel, wherein the first platform has a first load lock and a first plurality of chambers, and the second platform has a second load lock and a second plurality of chambers, and the vacuum tunnel connects the first and the second load locks.
    Type: Application
    Filed: September 27, 2012
    Publication date: March 27, 2014
    Applicant: Taiwan Semiconductor Manufaturing Company, Ltd.
    Inventors: Mao-Lin KAO, Hsu-Shui Liu, Tien-Chen Hu, Li-Jen Ko, Hsiang-Yin Shen, Jiun-Rong Pai
  • Publication number: 20140087072
    Abstract: A deposition system includes a system housing having a housing interior, a fixture transfer assembly having a generally sloped fixture transfer rail extending through the housing interior, a plurality of processing chambers connected by the fixture transfer rail, a controller interfacing with the processing chambers and at least one fixture carrier assembly carried by the fixture transfer rail and adapted to contain one substrate. The fixture carrier assembly travels along the fixture transfer rail under influence of gravity. A deposition method is also disclosed.
    Type: Application
    Filed: October 16, 2012
    Publication date: March 27, 2014
    Applicant: QUANTUM INNOVATIONS, INC.
    Inventors: NORMAN L. KESTER, CLIFF J. LEIDECKER
  • Patent number: 8679307
    Abstract: An apparatus for preparing specimens for microscopy including equipment for providing two or more of each of the following specimen processing activities under continuous vacuum conditions: plasma cleaning the specimen, ion beam or reactive ion beam etching the specimen, plasma etching the specimen and coating the specimen with a conductive material. Also, an apparatus and method for detecting a position of a surface of the specimen in a processing chamber, wherein the detected position is used to automatically move the specimen to appropriate locations for subsequent processing.
    Type: Grant
    Filed: August 1, 2003
    Date of Patent: March 25, 2014
    Assignee: E.A. Fischione Instruments, Inc.
    Inventors: Paul E. Fischione, Alan C. Robins, David W. Smith, Rocco R. Cerchiara, Joseph M. Matesa, Jr.